srl16e fifo verilog

 1 module srl16e_fifo ( clk, datain, wr, dataout, rd, fullness);
 2   parameter WIDTH = 8;
 3 
 4   input clk;
 5   input [WIDTH-1:0] datain;
 6   input wr;
 7   output [WIDTH-1:0] dataout;
 8   input rd;
 9   output reg [4:0] fullness;
10 
11   always @(posedge clk)
12   begin
13     fullness <= (fullness + wr - rd);
14   end
15   wire [3:0] readaddr = (fullness - 1);
16 
17   genvar i;
18 
19   generate
20     for (i = 0; i < WIDTH; i=i+1) begin : srl16
21       SRL16E fifo16(
22         .CLK(clk),
23         .CE(wr),
24         .D(datain[i]),
25         .A0(readaddr[0]),
26         .A1(readaddr[1]),
27         .A2(readaddr[2]),
28         .A3(readaddr[3]),
29         .Q(dataout[i]));
30     end
31   endgenerate
32 
33 endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值