matlab半带滤波器,[转载]半带滤波器设计实现(Matlab,Simulink,Verilog)

通带截止频率近似3KHz,阻带截止频率近似5KHz

fs = 16e3; % 采样频率

n = 0:5119; % 采样点数

x = sin(2* pi*1e3/fs* n); %输入原始信号

b =

firhalfband(18,0.00245,'dev'); % 获得设计的半带滤波器抽头系数

impz(b); %

半带滤波器的冲击响应

h = mfilt.firdecim(2,b)

figure

y_fi = filter(h,x);

x = double(x);

y = double(y_fi);

y = y/max(abs(y));

stem(n(1:44)/fs,x(1:44),'r');

xlabel('时间(sec)');

ylabel('输入信号');

hold on

figure

stem(n(1:2:44)/fs,y(5:26),'filled');

xlabel('信号值');

ylabel('时间(sec)');

a4c26d1e5885305701be709a3d33442f.png

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值