【牛客Verilog快速入门】基础语法代码和仿真代码演示 VL3 奇偶校验

题目:

描述:

现在需要对输入的32位数据进行奇偶校验,根据sel输出校验结果(1输出奇校验,0输出偶校验)

信号示意图:

在这里插入图片描述

波形示意图:

在这里插入图片描述

输入描述:

输入信号 bus sel
类型 wire

输出描述:

输出信号 check
类型 wire

解答:

1、简单理解奇偶校验

奇校验:原始码流+校验位 总共有奇数个1

偶校验:原始码流+校验位 总共有偶数个1

2、计算奇偶校验的方法 按位求异或得到奇校验结果,对其求反得到偶校验结果

3、连续进行异或 odd = ^bus 对bus进行异或位操作

设计代码实现:

将电路转换成Verilog代码描述如下

wire odd;

assign odd = ^bus;

assign check = sel?odd:~odd;

在命令行输入g odd.v,然后复制下面代码:

`timescale 1ns/1ns
module odd_sel(
input [31:0] bus,
input sel,
output check
);

wire odd;
assign odd = ^bus;
assign check = sel?odd:~odd;

endmodule 

验证平台实现:

在命令行输入g odd_tb.v,然后复制下面代码:


`timescale 1ns/1ps
module tb_top();
  logic [31:0] BUS;
  logic SEL;
  logic CHECK;

  logic clk;

initial begin

    #0;
    clk = 1;
    #20;
    forever #50 clk = ~clk;
end

initial begin
    BUS = 1;
    SEL = 1;
    $display("At time:%t, check:%h", $time, CHECK);
    repeat(5) @(posedge clk);
    SEL = 0;
    $display("At time:%t, check:%h", $time, CHECK);
    repeat(3) @(posedge clk);
    BUS = 'h3;
    $display("At time:%t, check:%h", $time, CHECK);
    repeat(2) @(posedge clk);
    $display("At time:%t, check:%h", $time, CHECK);
    $finish;
end

odd_sel u0_odd (
    .bus(BUS),
    .sel(SEL),
    .check(CHECK)
);

initial begin
    $fsdbDumpfile("tb_top.fsdb");
    $fsdbDumpvars(0, tb_top);
end

endmodule

makefile脚本实现:

在命令行输入g Makefile,然后复制下面代码:

#-----------------------------------------------------------
#// FileName: makefile 
#// Creator: xiaobaijiang
#// time: 2022-04-21
#// wchat: jianfuk
#//-----------------------------------------------------------



run:	
	vcs -R -full64 +v2k -fsdb  -sverilog odd.v odd_tb.sv -l run.log


verdi:
	verdi -sv odd.v odd_tb.sv  -ssf tb_top.fsdb &



clean:
	@rm -rf verdiLog *.log *.fsdb csrc ucli.key simv* *.conf *.rc 

仿真看波形:

命令行输入 make run

在这里插入图片描述
命令行输入make verdi,查看波形

在这里插入图片描述

更多芯片验证自学教程,请访问->传送门

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小白蒋博客

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值