sv 函数返回值

本文介绍了SystemVerilog中task和function如何通过return语句返回值,强调了return在提供灵活结束机制方面的角色,补充了在Verilog中缺乏此功能的情况。
摘要由CSDN通过智能技术生成

2.2 关于task/function返回值
verilog中的task和function不能够使用return语句返回返回值并结束task/function,在systemverilog中增加了这一特性。

值得注意的是,由于在system verilog中task和function本身就可以使用output参数来输出数据,因此return更大的意义在于提供了一种便于灵活结束task和function的机制。

systemverilog的return默认就是function的name,代码如下,但是这种情况只能返回一个值无法返回多个值

class ecc_code;
    int ab;
 
    function bit[15:0] EDO(bit [10:0] EDI);
        EDO[0] = EDI[0]^EDI[1]^EDI[2]^EDI[4]^EDI[5]^EDI[7]
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值