ncverilog脚本_NC-Verilog仿真详解

仿真数位IC工具简介——Simulator工具ModelSimModelSim是Mentor公司所推出的软体,主要用来当作VHDL的模拟器,也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器,更进一步的,ModelSim也支援VHD&Verilog的混合模拟,这对於单晶片系统(SoC)的发展上,矽智产(IP)是来源...
摘要由CSDN通过智能技术生成

仿真

数位

IC

工具简介

——

Simulator

工具

ModelSim

ModelSim

Mentor

公司所推出的软体

,

主要用来当作

VHDL

的模拟器

,

也是目前

CIC

VHDL

方面的主要的模拟

软体

;

ModelSim

不仅支援

VHDL

的模拟

,

同样也可用来当

Verilog

的模拟器

,

更进一步的

, ModelSim

也支援

VHD&Verilog

的混合模拟

,

这对於单晶片系统

(SoC)

的发展上

,

矽智产

(IP)

是来源来自不同的地方

,

有些矽智产是采用

VHDL

描述

,

有些是

Verilog

描述

,

因此这是不可或缺的功能

.

所以

CIC

引进

ModelSim

这一套软体

.

NCSim

NC-SIM

Cadence

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值