fpga烧写bin文件_FPGA开发入门初上手,一步一步实现LED灯控制

0ef03b63c81b93065f96b06e6c9b741c.png 作者: 风扬SKY 来源: 面包板社区 本文为面包板社区博主原创,未经博主允许,禁止转载! 今天主要是用米尔开发板进行PS和PL结合控制LED灯,让我们先来看下米尔官方提供开发板的整体硬件结构示意图。 903d9434eb19f70cbbc4ee3ce4d8f192.png 我们使用了米尔开发板上的LP FMC接口进行外扩出的XM105赛灵思官方的扩展板。 下面,让我们一步一步实现LED灯的控制:以下的步骤主要针对刚熟悉VIVADO开发环境的工程师,也能进一步熟悉米尔开发板硬软件的架构。 1:创建工程 一步一步的步骤如下,取名PS_PL_LED, 注意选择好FPGA器件 , 我是ZYNQ7z010clg400-1 68223cd514be3ce2afa9162b6295851e.png 打开VIVADO,创建一个新的工程, 05c4e58e86947f411bc93a7c0b1ec0d6.png 点击NEXT, d064665222c42326554635908ad494cf.png 新建工程的名称为PS_PL_LED,这个名称大家可以自己设置,不违反规则就行, 2b8888630f2009364c1fa77e67d3da99.png 新建RTL PROJECT,点击连续NEXT,来到下一个设置界面,主要设置我们主芯片的型号。 3bc1befa7813904eb259a13f22bd642d.png 具体的设置如下,我们的开发板主要是XC7z010clg400-1 777a0947efb17e47e865b8a96f4e4148.png 至此,我们完成一个VIVADO工程的创建。 2.原理的设计
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
实验目的: 通过 FPGA 实现按键控制 LED 的亮灭,加深对 FPGA 的理解和应用。 实验器材: - FPGA 开发板(例如 DE10-Lite) - USB 线 - 按键模块 - LED 模块 实验步骤: 1. 搭建硬件电路 将按键模块和 LED 模块插入 FPGA 开发板的相应引脚上,并将 FPGA 开发板与电脑连接。 按键模块连接方式: - K1 和 K2 分别连接到 FPGA 引脚的 PIN_23 和 PIN_25 上。 - GND 连接到 FPGA 引脚的 PIN_19 上。 LED 模块连接方式: - VCC 连接到 FPGA 引脚的 PIN_3 上。 - GND 连接到 FPGA 引脚的 PIN_1 上。 - LED1 和 LED2 分别连接到 FPGA 引脚的 PIN_10 和 PIN_12 上。 2. 新建 Quartus 工程 打开 Quartus 软件,选择 File -> New Project Wizard,新建一个工程。 3. 添加 Verilog 文件 在工程目录下新建一个 Verilog 文件,将以下代码复制进去: ```verilog module key_led( input clk, input k1, input k2, output led1, output led2 ); reg [1:0] state; always @(posedge clk) begin case(state) 2'b00: begin led1 <= 1'b0; led2 <= 1'b0; if(k1) state <= 2'b01; if(k2) state <= 2'b10; end 2'b01: begin led1 <= 1'b1; led2 <= 1'b0; if(!k1) state <= 2'b00; end 2'b10: begin led1 <= 1'b0; led2 <= 1'b1; if(!k2) state <= 2'b00; end default: state <= 2'b00; endcase end endmodule ``` 这段 Verilog 代码实现了按键控制 LED 的功能,在上升沿时检测按键的状态,根据按键的状态控制 LED 的亮灭。 4. 设计约束文件 在工程目录下新建一个 SDC 文件,将以下代码复制进去: ```tcl create_clock -name clk -period 10.0 -waveform {0 5.0} [get_ports clk] set_input_delay -clock clk -max 2.0 [get_ports k1] set_input_delay -clock clk -max 2.0 [get_ports k2] set_output_delay -clock clk -max 2.0 [get_ports led1] set_output_delay -clock clk -max 2.0 [get_ports led2] ``` 这段 SDC 代码定义了时钟和输入输出的时序约束。 5. 编译工程 在 Quartus 软件中,选择 Processing -> Start Compilation,编译工程。 6. 下载到 FPGA 开发板 编译成功后,在 Quartus 软件中选择 Tools -> Programmer,将编译好的文件下载到 FPGA 开发板。 7. 运行实验 将 FPGA 开发板接通电源,按下 K1 按键,LED1 亮起;按下 K2 按键,LED2 亮起。松开按键后,LED 熄灭。 实验效果: 通过按键控制 LED 的亮灭,实现了简单的数字逻辑电路的设计和实现。 实验总结: 本实验通过 FPGA 实现按键控制 LED 的亮灭,加深了对 FPGA 的理解和应用。在设计数字逻辑电路时,需要注意时序约束的设置,确保电路能够正常工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值