fpga烧写bin文件_FPGA开发入门初上手,一步一步实现LED灯控制

本文介绍了FPGA开发的基础步骤,包括如何导出硬件,确保包含比特流,接着进入SDK并新建应用项目PS_PL_LED,以此实现对LED灯的控制。适合FPGA新手入门。
摘要由CSDN通过智能技术生成
0ef03b63c81b93065f96b06e6c9b741c.png 作者: 风扬SKY 来源: 面包板社区 本文为面包板社区博主原创,未经博主允许,禁止转载! 今天主要是用米尔开发板进行PS和PL结合控制LED灯,让我们先来看下米尔官方提供开发板的整体硬件结构示意图。 903d9434eb19f70cbbc4ee3ce4d8f192.png 我们使用了米尔开发板上的LP FMC接口进行外扩出的XM105赛灵思官方的扩展板。 下面,让我们一步一步实现LED灯的控制:以下的步骤主要针对刚熟悉VIVADO开发环境的工程师,也能进一步熟悉米尔开发板硬软件的架构。 1:创建工程 一步一步的步骤如下,取名PS_PL_LED, 注意选择好FPGA器件 , 我是ZYNQ7z010clg400-1 68223cd514be3ce2afa9162b6295851e.png 打开VIVADO,创建一个新的工程, 05c4e58e86947f411bc93a7c0b1ec0d6.png 点击NEXT, d064665222c42326554635908ad494cf.png 新建工程的名称为PS_PL_LED,这个名称大家可以自己设置,不违反规则就行, 2b8888630f2009364c1fa77e67d3da99.png 新建RTL PROJECT,点击连续NEXT,来到下一个设置界面,主要设置我们主芯片的型号。 3bc1befa7813904eb259a13f22bd642d.png 具体的设置如下,我们的开发板主要是XC7z010clg400-1 777a0947efb17e47e865b8a96f4e4148.png 至此,我们完成一个VIVADO工程的创建。 2.原理的设计
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值