半导体存储器设计和实现计算机组成原理,计算机组成原理运算器及半导体存储器的设计实验报告.doc...

PAGE

PAGE 10

计算机组成原理实验报告

实验目录:实验1 Quartus Ⅱ的使用实验目的实验任务实验要求实验步骤74138、74244、74273的原理图与仿真图实验2 运算器组成实验实验目的实验任务实验要求实验原理图与仿真图实验3 半导体存储器原理实验实验目的实验要求实验原理图与仿真图实验4 数据通路的组成与故障分析实验(一)实验目的(二)实验电路(三)实验原理图与仿真图五、 本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用实验目的掌握Quartus Ⅱ的基本使用方法。了解74138(3:8)译码器、74244、74273的功能。利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。(二)实验任务熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。(三)实验要求1.做好实验预习,掌握74138、74244、74273的功能特性。2.写出实验报告,内容如下:(1)实验目的;(2)写出完整的实验步骤;(3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。(四)实验步骤新建项目:首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。原理图设计与编译:原理图的设计与编译在Compile Mode(编译模式)下进行。2.1.新建原理图文件打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。2.2.编译原理图原理图设计完成后,在编译模式下,点击“?”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片3. 创建向量波形文件当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一个波形文件。波形文件编辑界面分为两个区域,左侧为节点编辑区,右侧为波形仿真区;在左侧节点编辑区的空白处双击鼠标左键,弹出“节点/总线插入”对话框,添加输入、输出节点;点击图中的“Node Finder”按钮,将弹出“Node Finder”窗口,。在该界面“Filter”下拉菜单中选择“Pins:all”,点击“Start”按钮后,在窗口的左半边的“Node Finder”列表框中,将显示原理图中所有的输入、输出节点,可从中选择你所需要的节点,添加到右侧的“S

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值