t’触发器真值表和状态方程_触发器真值表

该实验旨在掌握D和JK触发器的原理和设计,通过VHDL语言实现电路并进行模拟仿真。实验内容包括编写VHDL程序,列出触发器的真值表,分析触发方式,并设计RS触发器。实验要求学生验证程序正确性,完成实验报告,理解触发器的时序电路特性。
摘要由CSDN通过智能技术生成

任务

1

触发器电路

一、实验目的

1

、掌握

D

触发器、

JK

触发器等基本触发电路的原理与设计

2

、掌握时序电路的分析与设计的方法

3

、学习

VHDL

语言中构造体的不同描述方式的异同

二、实验内容

1

、编写

VHDL

语言源程序,实现

D

触发器、

JK

触发器等基本触发电路

2

、扩展任务:设计其他如

RS

触发器,并分析它们相互转化的方法

3

、通过模拟和仿真,分析和验证各种出发器的逻辑功能及其触发方式

三、实验要求

1

、列写

D

触发器、

JK

触发器的真值表

2

、编写实现

D

触发器、

JK

触发器功能的

VHDL

语言程序

3

、利用实验装置验证程序正确性,分析触发的方式

4

、写出完整的实验报告

(

包括上述图表和程序等

)

四、实验原理说明

1

正边沿触发的

D

触发器的电路符号如图

2-4

所示。

从输入输出引脚而言,

它有一个数据

入端

d

,一个时钟输入端

clk

和一个数据输出端

q

D

触发器的真值表如表

2-2

所示。从表中

可以

看出:

D

触发器的输出端只有在正边沿脉冲过后,输入端

d

的数据才可以被传递到输出端

q

1D

触发器真值表

数据输入端

d

时钟输入端

clk

数据输出端

q

X

0

不变

X

1

不变

0

-

0

1

-

1

2

、带复位和置位功能的

JK

触发器电路符号如图

2-5

所示。

JK

触发器的输入端有置位输

s

位输入

clr

,控制输入

j

k

,时钟输入

clk

;输出端有数据输出

q

和反向输出

qb

JK

触发

器的真

值表如表

2-3

所示。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值