java开发电脑分频器_关于分频器的FPGA实现整理思路

分频器是用的最广的一种FPGA电路了,我最初使用的是crazybingo的一个任意分频器,可以实现高精度任意分频的一个通用模块,他的思想在于首先指定计数器的位宽比如32位,那么这个计数器的最大值就是2^32=4294967296,

假设系统时钟为50MHz,那么假如要想实现输出频率为fout,那么可以使用的频率控制字为:

K满足关系:

094ba3a96e7d72a0d66d639cc696d2fb.png,那么设计计数器在每个时钟上升沿累加的值为K,当计数值为2^31时,clkout=1;否则clkout=0.最终即可以实现任意频率的输出,精度的计算方法为当K=1时,可以得到clkout=0.0116415321826934814453125Hz,也即是说可以输出的最小频率为0.011Hz

此外我们最为常见的分频器分为以下4种分析:

1.偶数分频

最简单,要想得到分频系数为N的频率输出,设定一个计数器,这个计数器从零开始加1,当加到N/2-1时计数器清零,或者clkout翻转,以此循环,即可实现偶数倍分频。

2.奇数分频(分占空比不确定以及占空比50%)

方法一:分频系数为N,占总比不确定:以三(N)分频为例,上升沿触发计数,计数器计数到1(N-1)/2时输出时钟翻转,计数到2(N-1)时再次翻转.代码为产生1/11占空比为十一分频时钟:在计数值为9和10时均反转时钟,是产生抽样脉冲的有效方法:

always @(posedge clk or posedge rst) begin

if(rst)begin //复位

cnt<=0;

clk_div11<=0;endelseif(cnt==9) beginclk_div11<=~clk_div11; //时钟翻转

cnt<=cnt+1; //继续计数

endelseif(cnt==10) beginclk_div11<=~clk_div11; //时钟翻转

cnt<=0; //计数清零

end

elsecnt<=cnt+1;end

占空比50% ,则可以在上面的基础上,加上一个下降沿触发计数,然后将上升沿和下降沿产生的时钟进行相或运算,即可得到奇数分频输出。

regclk1;reg[1:0]cnt1;always@(posedge clk or posedge rst) begin

if(rst)begin //复位

cnt1<=0;

clk1<=0;endelseif(cnt1==1) beginclk1<=~clk1; //时钟翻转

cnt1<=cnt1+1; //继续计数

endelseif(cnt1==2) beginclk1<=~clk1; //时钟翻转

cnt1<=0; //计数清零

end

elsecnt1<=cnt1+1;end

regclk2;reg[1:0]cnt2;always@(negedge clk or posedge rst) begin

if(rst)begin //复位

cnt2<=0;

clk2<=0;endelseif(cnt2==1) beginclk2<=~clk2; //时钟翻转

cnt2<=cnt2+1; //继续计数

endelseif(cnt2==2) beginclk2<=~clk2; //时钟翻转

cnt2<=0; //计数清零

end

elsecnt2<=cnt2+1;end

assign clk_div3=clk1 | clk2; //或运算

68e6dcd63dcd3c148906a007dd036dcb.png 

图1 50%占空比的三分频电路原理图

2bf404f028bca7300263ec6067ce5ce5.png

方法二:对进行奇数倍n分频时钟,先进行n/2分频,然后在二分频得到(这部分先讲半整数分频)

亲测有效代码:

module ModuloN_Cntr(input clk,rst,outputclk_out);

reg [1:0]cnt1;

reg [1:0]cnt2;

regtemp1,temp2;

always@(posedge clk or negedgerst)

begin

if(~rst)

begincnt1<=0;

temp1<=0;

end

else

begin

if(cnt1==2)

begintemp1<=1;

cnt1<=0;

end

else

begincnt1<=cnt1+1;

temp1<=0;

end

end

end

always@(negedge clk or negedgerst)

begin

if(~rst)

begincnt2<=0;

temp2<=0;

end

else

begin

if(cnt2==2)

begintemp2<=1;

cnt2<=0;

end

else

begincnt2<=cnt2+1;

temp2<=0;

end

end

end

assign clk_out=temp1|temp2;

endmodule

仿真波形:

66b8bc0ef8e010f04813755f45c4d98a.png

3.半整数分频

半整数指的是N+0.5分频器设计:先进行模N+1计数,计数到N时输出时钟赋值为1,然后当计数到0时,输出时钟赋值为0,因此保持计数值为N的时间为半个时钟周期即为设计的关键,从中可以发现,计数器是在时钟的上升沿计数,那么我们可以让时钟在计数值为N时,将计数触发时钟翻转,时钟的下降沿变为上升沿,因此计数值为0,所以每产生一个N+0.5分频时钟周期,触发时钟都要翻转一次,以2.5分频为例程序如下:

//异或运算

assignclk_in=clk^clk_div2;

//模3计数器

reg clk_out;

reg [1:0]cnt;

always@(posedge clk_in or posedge rst) begin

if(rst)begin   //复位

cnt<=0;

clk_out<=0;

end

elseif(cnt==1) begin

clk_out<=~clk_out;   //时钟翻转

cnt<=cnt+1;    //继续计数

end

elseif(cnt==2) begin

clk_out<=~clk_out;   //时钟翻转

cnt<=0;    //计数清零

end

else

cnt<=cnt+1;

end

//2分频

reg clk_div2;

always@(posedge clk_out or posedge rst) begin

if(rst)  clk_div2<=0;  //复位

else  clk_div2=~clk_div2;

end

9654c193ea2d58e06e15e2d0ce70dfd6.png 

图3 通用半整数分频器的电路原理图

4338d900d6d299537c82c916d1857b7d.png 

图4  2.5倍分频器时序仿真图

那么5.5分频呢:

代码:通用的这里N=5;

module ModuloN_Cntr(clk,clk_div,temp1,temp2);//N+0.5

inputclk;outputclk_div;reg[31:0]cnt1=0;reg[31:0]cnt2=0;output regtemp1,temp2;initial begin temp1=0;temp2=1;end //首先进行初始化,temp1=0;temp2=1

parameter N=5; //设定分频系数为N+0.5

always @(posedge clk) //temp1上升沿跳变

begin

if(cnt1==2*N) //2*N

begin cnt1[31:0]<=32'd0;end

else begin cnt1[31:0]<=cnt1[31:0]+32'd1;end

if(cnt1==32'd0) begin temp1<=1;end //高电平时间为N+1;

if(cnt1==N+1) begin temp1<=0;end //低电平时间为N;

end

always@(negedge clk) //temp2下降沿跳变

begin

if(cnt2==2*N) //2*N

begin cnt2[31:0]<=32'd0;end

else begin cnt2[31:0]<=cnt2[31:0]+32'd1;end

if(cnt2==32'd0) begin temp2<=0;end //低电平时间为N;

if(cnt2==N) begin temp2<=1;end //高电平时间为N+1;

end

assign clk_div=temp1&&temp2; //逻辑与

endmodule

//如果要进行N+0.5分频//思路:总的来说要进行N+1+N=2N+1次分频//在时钟的上升沿和下降沿都进行跳变//上升沿进行占空比为N+1比N的时钟temp1;//下降沿进行占空比为N比N+1的时钟temp2;//最后div=temp1&&temp2 即可得到所需要的半整数分频

仿真波形:

de5b5997d87e713edb22266d97d4d539.png

4.任意小数分频

小数分频器的实现方法有很多中,但其基本原理都一样的,即在若干个分频周期中采取某种方法使某几个周期多计或少计一个数,从而在整个计数周期的总体平均意义上获得一个小数分频比。一般而言,这种分频由于分频输出的时钟脉冲抖动很大,故在设计中的使用已经非常少。但是,这也是可以实现的。以8.7倍分频为例,本文仅仅给出双模前置小数分频原理的verilog代码及其仿真图(如图6),具体原理可以参考刘亚海的《基于FPGA的小数分频器的实现》以及毛为勇的《基于FPGA的任意小数分频器的设计》。

ac35b9edf773268f61ecb839eac8ed51.png

还是放下代码吧:

//8分频

regclk_div8;reg[2:0]cnt_div8;always@(posedge clk or posedge rst) begin

if(rst)begin //复位

clk_div8<=0;

cnt_div8<=0;endelseif(cnt_div8==3'd7) begin

clk_div8<=1; //置1

cnt_div8<=0;endelseif(cnt_div8==3'd0) begin

clk_div8<=0; //置0

cnt_div8<=cnt_div8+1;end

elsecnt_div8<=cnt_div8+1;end

//9分频

regclk_div9;reg[3:0]cnt_div9;always@(posedge clk or posedge rst) begin

if(rst)begin //复位

clk_div9<=0;

cnt_div9<=0;endelseif(cnt_div9==3'd8) begin

clk_div9<=1; //置1

cnt_div9<=0;endelseif(cnt_div9==3'd0) begin

clk_div9<=0; //置0

cnt_div9<=cnt_div9+1;end

elsecnt_div9<=cnt_div9+1;end

//控制信号

parameterDiv8Num=3;regctrl;reg[3:0]AddValue;always@(posedge clk or posedge rst) begin

if(rst)begin //复位

ctrl<=0;

AddValue<=10-7;endelseif(AddValue<10) beginctrl<=0;

AddValue<=AddValue+Div8Num;end

else beginctrl<=1;

AddValue<=AddValue-10;end

end

//选择输出

regclk_out;always @(ctrlor posedge clk or posedge rst) begin

if(rst) clk_out<=0; //复位

elseif(ctrl) clk_out<=clk_div8;

elseclk_out<=clk_div9;end

ebbfed9c0418c9d46fbb6d0e52a11552.png 

图6  8.7分频器的时序仿真图

4、总结分频器是FPGA的基础,而且在FPGA逻辑电路设计的时候是经常使用的,希望大家对以上的整数倍分频和半整数倍分频能熟练掌握

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
提供的源码资源涵盖了Java应用等多个领域,每个领域都包含了丰富的实例和项目。这些源码都是基于各自平台的最新技术和标准编写,确保了在对应环境下能够无缝运行。同时,源码中配备了详细的注释和文档,帮助用户快速理解代码结构和实现逻辑。 适用人群: 适合毕业设计、课程设计作业。这些源码资源特别适合大学生群体。无论你是计算机相关专业的学生,还是对其他领域编程感兴趣的学生,这些资源都能为你提供宝贵的学习和实践机会。通过学习和运行这些源码,你可以掌握各平台开发的基础知识,提升编程能力和项目实战经验。 使用场景及目标: 在学习阶段,你可以利用这些源码资源进行课程实践、课外项目或毕业设计。通过分析和运行源码,你将深入了解各平台开发的技术细节和最佳实践,逐步培养起自己的项目开发和问题解决能力。此外,在求职或创业过程中,具备跨平台开发能力的大学生将更具竞争力。 其他说明: 为了确保源码资源的可运行性和易用性,特别注意了以下几点:首先,每份源码都提供了详细的运行环境和依赖说明,确保用户能够轻松搭建起开发环境;其次,源码中的注释和文档都非常完善,方便用户快速上手和理解代码;最后,我会定期更新这些源码资源,以适应各平台技术的最新发展和市场需求。 所有源码均经过严格测试,可以直接运行,可以放心下载使用。有任何使用问题欢迎随时与博主沟通,第一时间进行解答!
提供的源码资源涵盖了小程序应用等多个领域,每个领域都包含了丰富的实例和项目。这些源码都是基于各自平台的最新技术和标准编写,确保了在对应环境下能够无缝运行。同时,源码中配备了详细的注释和文档,帮助用户快速理解代码结构和实现逻辑。 适用人群: 适合毕业设计、课程设计作业。这些源码资源特别适合大学生群体。无论你是计算机相关专业的学生,还是对其他领域编程感兴趣的学生,这些资源都能为你提供宝贵的学习和实践机会。通过学习和运行这些源码,你可以掌握各平台开发的基础知识,提升编程能力和项目实战经验。 使用场景及目标: 在学习阶段,你可以利用这些源码资源进行课程实践、课外项目或毕业设计。通过分析和运行源码,你将深入了解各平台开发的技术细节和最佳实践,逐步培养起自己的项目开发和问题解决能力。此外,在求职或创业过程中,具备跨平台开发能力的大学生将更具竞争力。 其他说明: 为了确保源码资源的可运行性和易用性,特别注意了以下几点:首先,每份源码都提供了详细的运行环境和依赖说明,确保用户能够轻松搭建起开发环境;其次,源码中的注释和文档都非常完善,方便用户快速上手和理解代码;最后,我会定期更新这些源码资源,以适应各平台技术的最新发展和市场需求。 所有源码均经过严格测试,可以直接运行,可以放心下载使用。有任何使用问题欢迎随时与博主沟通,第一时间进行解答!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值