verilog 乘法_如何用Verilog设计一个复数乘法器

复数乘法原理

 a = a_i + j * a_q
 b = b_i + j * b_q
 ​
   (a_i + j * a_q) * (b_i + j * b_q)
 = a_i * b_i + j * a_q * b_i + j * a_i * b_q - a_q * b_q
 = (a_i * b_i - a_q * b_q) + j * (a_i * b_q + a_q * b_i)
 ​
 result_i = a_i * b_i - a_q * b_q
 result_q = a_i * b_q + a_q * b_i

如上式子化简,需要四个乘法器。先算出乘法再算出加法。

该式子还可以进一步化简

 result_i = a_i * b_i - a_q * b_q
          = a_i * b_i - a_q * b_q+ a_i * b_q - a_i * b_q
          = a_i * (b_i + b_q) - b_q * (a_i + a_q)
          
 result_q = a_i * b_q + a_q * b_i
          = a_i * b_q + a_q * b_i + a_i * b_i - a_i * b_i
          = a_i * (b_i + b_q) - b_i * (a_i - a_q)
 ​
 result_i = a_i * (b_i + b_q) - b_q * (a_i + a_q) 
 result_q = a_i * (b_i + b_q) - b_i * (a_i - a_q)<
  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值