自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

2301_76660661的博客

IC码农,新加坡国立大学毕业。原号已作废,在这里重新开始,做一些简单的分享,希望能和各路大佬进行交流。

  • 博客(48)
  • 收藏
  • 关注

原创 半导体存储器整理

半导体存储器用来存储大量的二值数据,它是计算机等大型数字系统中不可缺少的组成部分。按照集成度划分,半导体存储器属于大规模集成电路。目前半导体存储器可以分为两大类:只读存储器(ROM,Read Only Memory):正常工作时ROM只能读出数据,ROM中数据可以长期保存随机存取存储器(RAM,Random Access Memory):正常工作时RAM中既可以读出数据也可以写入数据,断电后RAM中数据会全部丢失,具有易失性

2024-04-23 21:02:48 709 1

原创 C语言整理#2:常用字符串函数

字符串是C语言中最重要的数据类型之一。字符串是以空字符(\0)结尾的char型数组,c提供了许多专门用于处理字符串的函数,ANSIC 库有20多个用于处理字符串的函数,下面总结了一些常用的函数:

2024-02-18 20:34:15 848

原创 计算摄像技术05 - 自动曝光技术

自动曝光可以分为亮度获取、亮度分析、曝光调节三个步骤。从实现方式上,自动曝光又可以分为光学方式与电子学方式。一般具有测光功能的摄像机都有至少三种基本的测光方式:中央重点平均测光、中央部分测光和点测光。事实上,无论哪种测光方式都很难兼顾整个画面的亮度。当光照条件是背光(逆光)或是强正面光时,由于场景中最亮和最暗区域具有强烈的对比度,如果仍然采用 上述的常用测光方法,虽然整体的图像亮度仍然是“中间灰度”,但是图像中重要的主体区域就肯定遭遇曝光不足或者曝光过度,所以必须采用更先进的自动曝光控制方法。

2024-01-07 23:51:54 1320 1

原创 一些数字设计及验证的笔试题(6)

一些数字设计及验证的笔试题汇总,仅供参考。

2024-01-07 21:00:02 967

原创 计算摄像技术04 - 曝光的基本概念

在摄像机拍摄的过程中,需要调节的参数包括了光圈、焦距、曝光时间(快门)、感光度ISO、白平衡等。这些参数众多,为了调节好这些参数拍摄出各种精美的艺术效果,摄影师需要掌握摄像机工作的基本原理及相应的摄影技术技巧。但是这并不是一件容易的事,往往需要多年的摄影经验积累,包括摄影理论及实际拍摄技巧两方面。普通摄影者通常不会有丰富的摄影经验,甚至对摄影理论一无所知。因此,“傻瓜摄像机”应运而生。它结构简单,使用方便,即使不懂摄影的人,只要装上电池,打开镜头盖,正确取景,按下快门,也能获得一张不错的照片。

2023-11-27 20:45:22 921

原创 计算摄像技术03 - 数字感光器件

一些计算摄像技术知识内容的整理:感光器件的发展过程、数字感光器件结构、数字感光器件的指标。

2023-11-09 20:47:44 187

原创 C语言整理#1:习题

一些C语言习题的整理:一、判断质数二、判断回文数三、判断水仙花数四、输出乘法表五、输出杨辉三角

2023-11-06 22:46:44 139

原创 计算摄像技术02 - 颜色空间

一些计算摄像技术知识内容的整理:颜色视觉与感知特性、颜色空间和基于彩色滤镜阵列的彩色感知。三色学说的核心观点是假定人眼视网膜上存在三种能够感受红、绿、蓝三原色的光接收器,每种接收器受到刺激后引起的兴奋响应都能产生一种原色的感觉,而颜色感受都由兴奋响应量的比例确定。需要注意的是三色学说不是理论推导的学说,而是建立在颜色混合实验规律的基础上,即不同比例的红、绿、蓝三原色可以混合出几乎所有的颜色。

2023-10-06 21:12:41 245

原创 计算摄像技术01 - 摄像技术基础知识

一些计算摄像技术知识内容的整理:传统摄像技术中的快门和曝光、图像信号格式。

2023-09-27 21:10:05 213

原创 MIPI CSI-2接口解析①

对于图像分辨率越来越高的的需求,正在推动现有主机中处理器到摄像头的传感器接口的带宽容量发展。然而常见的并行接口难以扩展,需要很多相互连接,并消耗相对较大的功率。这些并行接口互相不兼容,当使用不同厂商的设备在一起工作时就会产生问题,同时会提高系统成本,并降低系统可靠性。CSI-2的出现为移动行业提供了一个标准的、可扩展的、低功耗的、高速的、经济的,同时支持各种移动设备成像解决方案的接口。

2023-09-24 18:29:32 940

原创 MATLAB图像处理项目

一个使用MATLAB进行图像处理的项目总结,帮助理解图像处理算法和过程。需要完成以下任务:(1)读取并显示原始图像。(2)对图像进行阈值操作并将其转换为二值图像。(3)确定物体的1像素薄图像。(4)确定图像轮廓。(5)给图像中不同的物体赋予标签,连接方法采用4连接和8连接。

2023-09-10 18:09:47 422

原创 Verilog设计_ROM的实现

半导体存储器用来存储大量的二值数据,是大型数字系统中不可缺少的组成部分。目前半导体存储器可以分为两大类:只读存储器(ROM)和随机存取存储器(RAM)。本设计实现一个简单的ROM。

2023-07-19 13:52:07 453

原创 机器视觉系统、照明与相机

机器视觉系统包括获得视觉图像的数字表示、修改数据以及向外部世界呈现数字图像数据的所有必要元件。其中的三个主要功能组件包括图像采集组件、数据处理组件、输出或显示组件。照明是指应用照明的科学,主要涉及到光源的产生以及照明系统设计。它的目的是在机器视觉的背景下为相机创造一个有效的视觉环境。相机是一种用于捕获静止图像(照片)或运动图像序列(电影或视频)的设备。主要包括CCD相机、CMOS相机、单色相机、彩色相机、智能相机。

2023-07-18 00:13:02 302

原创 图像区域分析和常规特征提取②

基本图像描述符包括压实度、圆度、偏心度等,基于此我们可以获得图像中更多重要的信息。纹理并没有正式的定义,直观地说纹理描述符提供了平滑度、粗糙度和规则性的度量。傅立叶光谱非常适合描述图像中周期性或半周期性二维图案的方向性。通过滤波消除任何周期性分量,留下非周期性图像元素,然后可以用统计方法来描述。对于搜索图像数据库以查找匹配项(例如人脸识别)等应用程序,局部特征方法并不适用,需要使用全局图像特征方法。

2023-05-20 02:16:08 397

原创 图像区域分析和常规特征提取①

区域分析包括计算由连接组件标记算法产生的每个区域的全局属性。基于区域的形状和参与该区域的像素的灰度值,我们可以对每个区域进行各种属性的测量,例如图形的面积、质心、周长等。特征是用一些标量性质表示的图像描述。通常,特征应该独立于图像的位置、旋转和比例。链式编码(Chain Codes)又称为弗里曼链码(Freeman Chain Codes)或边界链码。该多边形的边界可表示为:由某一原点开始并按某些基本方向确定的单位矢量链。

2023-04-29 21:16:54 569

原创 组件标签及连通分量

一旦产生二值图像,我们就可以使用连通分量标记算子将二值-1像素分组为最大连通区域。这些区域被称为二进制图像的连通分量,关联的运算符被称为连通分量运算符。连接组件标记是一种分组操作,它可以使一个单元从像素变为区域,形成一个更复杂的单元。它的输入是二进制图像,输出是符号图像,其中分配给每个像素的标签是唯一标识该像素所属的连接组件的整数。

2023-04-27 21:55:01 285

原创 一些数字设计及验证的笔试题(5)

一些数字设计及验证的笔试题汇总,仅供参考。

2023-04-25 01:42:44 191

原创 图像细化和骨架提取

图像细化(Image Thinning),一般指二进制图像的骨架化(Image Skeletonization) 的一种操作运算。细化是将图像的线条从多像素宽度减少到单位像素宽度过程的简称;骨架提取与图像细化类似,都是指将二进制图像中一部分像素去掉后,剩下的像素仍然能保持原来的形状,形成图像的骨架。Zhang-Suen算法是一种经典的细化算法,后续很多的算法是在其基础上进行改进的。在很多的图像处理库(scikit-image, OpenCV)中,该算法都被广泛的使用。

2023-04-22 17:15:34 1747

原创 模块级验证_异步FIFO_driver与response

在环境中创建driver。driver是整个testbench中最重要的组件之一,它向sequencer请求transaction,仲裁通过后sequence向sequencer发送产生的transaction并到达driver,在这里进行发送。driver的使命就是给予DUT正确的激励,以产生我们期望的结果。同时,在sequence机制中还提供了一种反馈功能,driver可以发送一个response返回给sequence,完成进一步控制。

2023-04-13 00:53:09 247

原创 一些数字设计及验证的笔试题(4)

一些数字设计及验证的笔试题汇总,仅供参考。

2023-04-12 16:58:29 198

原创 二进制机器视觉与阈值方法

对于对象识别和检测,可以通过生成输出图像来简化输入图像。针对该输出图像的像素,如果它们是感兴趣对象的一部分,则倾向于具有高值(二进制图像中的“1”);如果不是,则为低值(二进制图像中为“0”)。这种图像的生成和分析被称为二进制机器视觉。在正式的数学术语中,阈值可以被视为一种涉及对函数T进行测试的操作形式。对于图像强度直方图为f(x,y), 由浅色物体和深色背景组成(或相反)。要识别对象,最简单的方法是执行阈值操作以生成二进制图像。

2023-04-12 12:51:58 328

原创 机器视觉概述

人类的视觉可以感知可见光波段的电磁光谱,视觉让人类得以观察和理解世界。而计算机视觉的范围则是全电磁光谱,旨在通过电子感知和理解图像来复制人类视觉。机器视觉则是计算机视觉在媒体、医疗保健以及制造业等行业的应用,是一个包括机械工程、光学、计算机科学和自动化的一个子领域。

2023-04-08 16:37:16 1313

原创 一些数字设计及验证的笔试题(3)

一些数字设计及验证的笔试题汇总,仅供参考。

2023-04-08 01:35:46 177

原创 一些数字设计及验证的笔试题(2)

一些数字设计及验证的笔试题汇总,仅供参考。

2023-04-07 21:55:55 578

原创 一些数字设计及验证的笔试题(1)

一些数字设计及验证的笔试题汇总,仅供参考。

2023-04-06 01:40:43 218

原创 UVM验证方法学_打印信息控制

仿真过程中可能会出现各种各样的问题,查看所打印的log是debug过程很重要的一个环节。环境中的信息有很多,对打印信息的合理控制有助于我们快速定位问题,过滤掉我们不想看到的冗余信息。打印信息的控制有众多的函数可以选择,不论是对于严重级别的控制、对某节点或者某个ID的单独操作还是进行递归操作,都可以很轻松的完成。除此之外,我们还可以根据打印的信息对整个环境进行反馈控制,让我们可以实时地掌控整个环境的状态,让仿真过程更加顺利,产生我们所期望的结果。

2023-04-04 01:24:48 601

原创 Verilog设计_变模计数器

一种可变模计数器的设计。可变模长计数器是用标准计数器结合与门电路,构成任意进制计数器的方法。通过控制使能或者选择信号,实现切换不同进制计数器的功能。

2023-04-01 23:59:01 944

原创 UVM验证方法学_factory机制

factory机制即工厂机制,是uvm中最重要的机制之一。其存在的意义就是为了能够方便的替换环境中的实例或者已注册的类型。一般而言,在搭建完环境后,我们如果需要对环境进行更改配置或者相关的类信息,我们可以通过使用factory机制进行覆盖,达到替换的效果,从而大大提高环境的可重用性和灵活性。本质上来看,factory机制其实是对SystemVerilog中new函数的重载。这个原始的new函数实在是太简单,功能也太少。经过factory机制的改良之后,进行实例化的方法多了很多。

2023-04-01 21:45:21 319

原创 Verilog设计_除法器

一个简单的除法器设计。除法运算过程如下:(1) 取被除数的高位数据,位宽和除数相同。(2) 将被除数高位数据与除数作比较,如果前者不小于后者,则可得到对应位的商为 1,两者做差得到第一步的余数;否则得到对应的商为 0,将前者直接作为余数。(3) 将上一步中的余数与被除数剩余最高位 1bit 数据拼接成新的数据,然后再和除数做比较。可以得到新的商和余数。(4) 重复过程 (3),直到被除数最低位数据也参与计算。

2023-03-31 18:26:29 971 1

原创 Verilog设计_序列检测

序列检测是手撕代码中经常会出现的一道题目。所谓序列检测就是将一个指定的序列从数字码流中识别出来,同时也可以实现对指定序列的计数。检测工具一般为状态机,通过状态机跳转来实现识别的过程。当然,实现序列检测也可以不使用状态机。状态机的跳转逻辑写起来会比较复杂,但是会比较直接,我们只需要关注每一步的跳转。不使用状态机的实现会更简洁,但是会使用更多的dff,尤其是当检测序列比较长的时候,会占用更多的面积,不利于低功耗的实现。

2023-03-29 20:00:42 749

原创 UVM验证方法学_config_db机制

config_db机制是uvm中很重要的机制之一。由于验证平台的结构往往会比较复杂,其中的组件如果要进行互相通信和参数传递,则需要一种高效且稳妥的办法,这就是config_db机制的意义。正确地运用config_db机制,能够帮助我们灵活地对环境进行控制,实现不同的场景。

2023-03-26 21:02:16 1787

原创 Verilog设计_乘法器

一种乘法器的设计。

2023-03-23 16:53:46 1020 1

原创 Verilog设计_全加器

一种全加器的设计。

2023-03-23 13:35:02 1549

原创 Verilog设计_序列发生器

一种序列信号发生器设计,周期性进行输出。

2023-03-20 19:26:34 2507

原创 UVM验证方法学_phase机制

验证平台是很复杂的,要搭建出一个验证平台是一件相当繁杂的事情,要正确地掌握并理顺这些步骤是一个相当艰难的过程。在不同时间做不同的事情,这就是uvm中phase的设计哲学。但是仅仅划分成phase是不够的,phase的自动执行功能极大地方便了用户。同时,phase机制的引入在很大程度上解决了因代码顺序杂乱可能会引发的问题,也在很大程度上减少了验证平台开发者的工作量。

2023-03-19 21:41:00 2921

原创 Verilog设计_串并转换 / 移位寄存器

一种串并转换的设计,通过移位寄存器实现。

2023-03-19 03:39:08 1045

原创 模块级验证_异步FIFO_sequencer

sequencer是sequence机制的重要组成部分之一,它的主要功能就是发送产生出的sequence交给driver,由driver完成驱动。sequencer作为整个uvm树形结构的一部分,是一个uvm_component。在一个sequence在向sequencer发送transaction前,必须先向sequencer发送一个请求,sequencer把这个请求放在一个仲裁队列中。

2023-03-18 22:05:37 370

原创 Verilog设计_格雷码转换

格雷码和二进制的相互转换设计。

2023-03-14 20:48:28 568

原创 模块级验证_异步FIFO_interface

在systemverilog中引入了程序块,将testbench从逻辑和时间上与DUT分开。随着设计复杂度的增加,模块之间的连接变得更加复杂。testbench需要一种更高层次的方法和设计建立通信,避免由于端口连接造成的错误。接口interface包含了连接、同步两个或者更多块之间的通信功能,所以使用接口连接设计块和测试平台。可以形象理解为在testbench中的连接interface只是一捆线,到了DUT当中再把这一捆线拆开,一个一个连接到DUT的各个端口上。

2023-03-12 01:31:50 401

原创 Verilog设计_边沿检测

一种对输入数据边沿进行检测的设计。

2023-03-04 12:14:52 621

电路的分析方法.pdf

电路的分析方法 本资源详细介绍了电路的基本概念与基本定律,是电工电子、电气工程、自动化、集成电路、微电子等相关专业学科的基础内容,对于电路分析、模拟电子、数字电子等领域是入门必学内容。 主要大纲为: 2.1 基尔霍夫定律及支路电流法 2.2 结点电压法 2.3 叠加原理 2.4 戴维南定理 基于本材料的学习要求及目标: 1. 掌握支路电流法、叠加原理结点电压法和戴维南定理等电路的基本分析方法。

2023-10-19

电路的基本概念与基本定律.pdf

电路的基本概念与基本定律 本资源详细介绍了电路的基本概念与基本定律,是电工电子、电气工程、自动化、集成电路、微电子等相关专业学科的基础内容,对于电路分析、模拟电子、数字电子等领域是入门必学内容。 主要大纲为: 1.1 电路的作用与组成部分 1.2 电路模型 1.3 电路的基本物理量 1.4 电源有载工作、开路与短路 1.5 电路中电位的概念及计算 1.6 电阻串并联联接的等效变换 1.7 电压源与电流源及其等效变换 基于本材料的学习要求及目标: 1. 理解电压与电流参考方向的意义; 2. 理解电路的基本物理量并能正确应用; 3. 了解电路的有载工作、开路与短路状态; 4. 掌握电功率的计算并判断物理作用; 5. 会计算电路中各点的电位。 6. 了解实际电源的两种模型及其等效变换。 本内容中的重点难点: 1. 电流方向问题; 2. 电动势与电压的关系; 3. 元件作用判别——通过功率P判断; 4. 电路的识别; 5. 基尔霍夫定律、欧姆定律使用。

2023-10-19

clk-double-fre.v

使用verilog实现二倍频。 分频数使用参数化进行实现,不仅可以实现二倍频,还可以实现其他任意时钟分频,分频数可进行任意更改。 可以输出多种不同占空比的时钟,具体如下: (1)奇数占空比<50, 偶数占空比<50(最小) (2)奇数占空比>50, 偶数占空比=50 (3)奇数占空比=50, 偶数占空比<50 (4)奇数占空比>50, 偶数占空比>50(最大) 该设计非常灵活,可以适应不同时钟分频情况下的应用,已测试过波形。

2023-10-06

职业健康安全管理体系.pdf

本资源是职业健康安全管理体系-HSE管理的概述。 主要内容包括: •背景知识 •OHSMS 建立的方法与步骤 •OHSMS 定义与要素 •法律法规 •危害辨识与危险评价 •文件编制 •审核 更多内容请下载后查看。 更多内容请下载后查看。 更多内容请下载后查看。 本资源内容详细丰富,全方位解析了职业健康安全管理体系的内容以及应用中的要点,同时给出了项目案例分析。

2023-10-06

工程项目管理模式.pdf

本资源是工程项目管理模式的概述。 主要大纲内容包括: 一、工程项目建设的各参与方 业主 业主代表 承包商 建筑师/工程师 分包商 供应商 工料测量师 其他 二、工程项目管理模式 工程项目管理模式的选择: 1、时间与进度要求; 2、项目复杂程度; 3、业主的合同经验 4、当地建筑市场情况 5、资金限制与法律限制等。 不同的项目管理模式介绍。 三、工程项目管理模式的策划 业主方在确定工程项目管理模式时应考虑的主要因素: 法律、行政法规、部门法规以及项目所在地的法规与规章和当地政府的要求; 资金来源:融资有关各方对项目的特殊要求; 项目管理经验:业主方以及拟聘用的咨询(监理)单位或管理单位对某种模式的管理经验是否适合该项目,有无标准的合同范本; 项目的复杂性和对项目的时间进度、质量等方面的要求 建设市场情况:在市场上能否找到合格的管理和实施单位(如工程咨询公司、项目管理公司、总承包商、承包商、专业分包商等)。 更多内容请下载后查看。 本资源内容详细丰富,全方位解析了工程项目管理模式的内容以及应用中的要点,同时给出了丰富的思考题以及项目案例分析。

2023-10-06

工作分解技术概述.pdf

本文是工作分解技术的概括。帮助理解工作分解技术的概念以及WBS的定义解析和应用。 工作分解技术是指将项目产出物(或项目目标)逐层细分为更小、更易管理的子项目或项目要素,直到分解出全部生成项目产出物的工作包为止。 工作分解结构是项目管理过程中的一项重要内容。 WBS是计划过程的中心。 WBS是制定工期计划、资源需求、成本预算、风险管理计划和采购计划的重要基础。 WBS同时也是控制项目变更的重要基础。 WBS定义项目的范围,是一个项目的综合工具。 创建工作责任分配矩阵。

2023-10-05

matlab图像处理项目-Characters

图像处理项目,Perform the following tasks: 1. Display the original image. 2. Create a binary image using thresholding. 3. Determine a one-pixel thin image of the characters. 4. Determine the outline(s) of characters of the image. 5. Segment the image to separate and label the different characters. 6. Arrange the characters in one line with the sequence: AB123C 7. Rotate the output image about its center by 30 degrees. 8. Using the training dataset to train the classification (i.e. SOM or kNN or SVM).

2023-05-04

matlab图像处理项目-Chromosomes

图像1是64x64、32级图像。这些图像显示为编码阵列,每个像素包含一个字母数字字符。这些字符的范围是0-9和A-V,对应于32级灰度。 Perform the following tasks: 1. Display the original image on screen. 2. Threshold the image and convert it into binary image. 3. Determine a one-pixel thin image of the objects. 4. Determine the outline(s). 5. Label the different objects. Note: 1. Operation requirements: Windows10 + Matlab R2022b. 2. The main program is file 'image1.m'. 3. After running the main program, normally 5 figures will be displayed.

2023-05-04

Verilog设计-时钟分频

Verilog设计_时钟分频 时钟分频的设计,实现任意的奇数分频和偶数分频。 分频的本质是引入一个计数器,到特定的时候指示反转,从而达到分频的效果。 通过控制计数器的动作进而控制占空比,但是奇数分频想通过计数器直接分频出占空比50%的时钟是不可能的,必须要通过中间的临时波形,做一些逻辑 “与” “或” 的动作才能得到占空比50%的分频时钟。 方法有很多种,我的代码中统一使用异或,通过参数化控制可以改变分频系数。至于想改变占空比的话,只要根据需要去调整中间时钟和计数器的动作,然后进行相应逻辑运算即可,可以灵活处理。 通过控制参数,可以实现任意比例的分频时钟。

2023-04-24

Verilog设计-变模计数器

Verilog设计_变模计数器 一种可变模计数器的设计。 可变模长计数器是用标准计数器结合与门电路,构成任意进制计数器的方法。通过控制使能或者选择信号,实现切换不同进制计数器的功能。本次设计分别采用5进制,8进制,10进制,12进制四种模数,通过选择信号切换来实现变模计数。 可变模长计数器是用标准计数器结合与门电路,构成任意进制计数器的方法。通过控制使能或者选择信号,实现切换不同进制计数器的功能。本次设计分别采用5进制,8进制,10进制,12进制四种模数,通过选择信号切换来实现变模计数。

2023-04-24

Verilog设计-串并转换 / 移位寄存器

Verilog设计_串并转换 / 移位寄存器 一种串并转换的设计,通过移位寄存器实现。这里给出了串转并和并转串各自的设计。每个转换都具有各自的使能信号,并行输出的格式分别有两种:lsb和msb。 串并转换是完成串行传输和并行传输这两种传输方式之间转换的技术,通常使用移位寄存器可以实现并行和串行输入和输出。 这些通常配置为“串行输入,并行输出”(SIPO)或“并行,串行输出”(PISO)。 串行数据输出是将组成数据和字符的码元按时序逐位予以传输。 并行数据传输是将固定位数(通常为8位或16位等)的数据和字符码元同时传输至接收端。 以串行格式输入数据。一旦数据被输入,它可以在每个输出同时读出,或者可以被移出。每个触发器都是边沿触发的,所有触发器以给定的时钟频率工作。每个输入位在N个时钟周期后下降到第N个输出,以实现并行输出。并行输出在串行加载过程期间不应改变。 以并行格式输入数据。在将数据写入寄存器时,写/移位控制线必须暂时停止工作;为了实现移位,控制线则变为工作状态并且寄存器被锁定。只要时钟周期数不超过数据串的长度,数据输出Q将按顺序读出并行数据,需要区分LSB和MSB。

2023-04-24

研发项目管理与IPD.pdf

本文是研发项目管理与IPD的概述 主要内容包括: 新产品开发的现实情况 为什么许多公司新产品开发过程效率很低? 高效的企业研发体系有何表现? 研发管理模式设计 四种典型的公司技术战略 研发战略管理 研发项目规划管理 研发过程管理 IPD定义及核心思想 产品项目开发组(PDT) 结构化产品开发流程 适用人群:研发项目管理及使用IPD的相关人员,本文能够让相关人员对整套流程有更加清晰的认识以及理解,培养战略规划能力。

2023-03-30

网络计划-基本概述.pdf

网络计划-基本概述 本文是对网络计划的概述,包括基本定义、基本结构、参数计算、计划优化、计算模式等内容。 网络计划的基本思想是, 首先应用网络计划图来表示工程项目中计划要完成的各项工作, 完成各项工作必然存在先后顺序及其相互依赖的逻辑关系; 这些关系用节点、箭线来构成网络图。 网络图是由左向右绘制, 表示工作进程, 并标注工作名称、代号和工作持续时间等必要信息。通过对网络计划图进行时间参数的计算, 找出计划中的关键工作和关键线路; 通过不断改进网络计划, 寻求最优方案, 以求在计划执行过程中对计划进行有效的控制与监督, 保证合理地使用人力、物力和财力, 以最小的消耗取得最大的经济效果。 主要的网络计划技术包括关键路线法CPM,计划评审技术PERT,图示评审技术GERT,风险评审技术VERT等。

2023-03-30

面试框架+技巧+礼仪+法则+误区+案例分析.zip

面试框架+技巧+礼仪+法则+误区+案例分析 精品面试准备资料,包含了各种技巧梳理以及要点整理。 包含: 五个模板教你搞定面试自我介绍.pdf 面试时,如何介绍死的快.pdf 面试自我介绍 幽默一点也无妨.pdf 面试自我介绍四大禁忌.pdf 面试自我介绍如何表述自己的优缺点.pdf 面试自我介绍有哪些要领.pdf 面试自我介绍案例.pdf 面试自我介绍礼仪.pdf 面试自我介绍要抓住的几个重点.pdf 面试自我介绍黄金法则.pdf 面试自我介绍:要包含的四个方面.pdf 都是精心搜集整理的技巧和案例文档,可以帮助你更好地准备面试,并且可以以此为模板并在此基础上针对性地修改自己的内容,争取更大的面试通过机会。

2023-03-29

阿里巴巴多岗位校园招聘笔试真题汇总-2021.zip

阿里巴巴多岗位校园招聘笔试真题汇总-2021 包含多个岗位方向的校园招聘笔试真题: 交互设计师岗 产品运营岗 技术web前端开发岗 技术岗位通识 游戏运营岗 用户体验实习生岗 研发工程师岗 营销专员岗 视觉设计师岗 销售岗 可以用来帮助熟悉题型,巩固知识点,对笔试过程有一个心理预期。 可以用来帮助熟悉题型,巩固知识点,对笔试过程有一个心理预期。 可以用来帮助熟悉题型,巩固知识点,对笔试过程有一个心理预期。

2023-03-27

python-work-opencv.zip

OpenCV是一个基于Apache2.0许可(开源)发行的跨平台计算机视觉和机器学习软件库,可以运行在Linux、Windows、Android和Mac OS操作系统上。OpenCV 拥有包括 500 多个C函数的跨平台的中、高层 API,提供了Python、Ruby、MATLAB等语言的接口,实现了图像处理和计算机视觉方面的很多通用算法。在人机互动、物体识别、图像分割、人脸识别等领域有广阔的应用前景。 OpenCV库的功能非常强大。使用python并导入OpenCV库,用来进行图像处理。资源包括了多种图像处理方法的示例代码,例如图像显示、图像弯曲、调整大小、平移翻转、噪音处理、模糊与锐化、边缘检测、图像阈值处理、局部区域处理等。 所有代码运行需要安装python并导入OpenCV库,部分代码需要导入matplotlib.pyplot库,导入之后代码可直接运行并观察结果。 代码中包含大量注释用来说明相对应的处理方法和理论知识,可以很好地帮助理解图像处理过程。 资源适合于对图像处理领域感兴趣或者希望熟悉OpenCV库使用过程的用户,同时需要对python编程有一定的基础。

2023-03-27

简历模板-人力资源 财务 保险 制药化工方向.zip

简历模板-人力资源 财务 保险 制药化工方向 包含多个方向的简历模板,如人力资源 财务 保险 制药化工等方向.。 包含多个方向的简历模板,如人力资源 财务 保险 制药化工等方向.。 包含多个方向的简历模板,如人力资源 财务 保险 制药化工等方向.。

2023-03-27

应届生面试技巧大全.pdf

应届生面试技巧大全 高校毕业生能否顺利就业,找到一个理想的工作岗位,除了取决于毕业生自身的素质、条件和社会因素外,掌握求职面试的技巧有时也显得非常重要。它往往能起到事半功倍的效果,使毕业生在求职择业的过程中少走弯路。 本文包含很多面试技巧,总结了许多细节与面试经验,适合于刚毕业或者还在校园正在找工作的应届学生使用。 高校毕业生能否顺利就业,找到一个理想的工作岗位,除了取决于毕业生自身的素质、条件和社会因素外,掌握求职面试的技巧有时也显得非常重要。它往往能起到事半功倍的效果,使毕业生在求职择业的过程中少走弯路。 本文包含很多面试技巧,总结了许多细节与面试经验,适合于刚毕业或者还在校园正在找工作的应届学生使用。 高校毕业生能否顺利就业,找到一个理想的工作岗位,除了取决于毕业生自身的素质、条件和社会因素外,掌握求职面试的技巧有时也显得非常重要。它往往能起到事半功倍的效果,使毕业生在求职择业的过程中少走弯路。 本文包含很多面试技巧,总结了许多细节与面试经验,适合于刚毕业或者还在校园正在找工作的应届学生使用。

2023-03-27

程序员简历模板-多方向.zip

程序员简历模板-多方向 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,同时还有一些面试框架+技巧+礼仪+法则+误区+案例分析等资料,帮助你更好的准备面试。 包含多个方向的简历模板,如软件方向、通信方向、电子类方向等,帮助你更好的准备面试。

2023-03-26

验证工程师笔试模拟题.pdf

验证工程师笔试模拟题 验证方向,包含代码分析和理解 可以用来帮助熟悉题型,巩固知识点,对笔试过程有一个心理预期。

2023-03-26

IBM笔试题目-汇总整理版

IBM笔试题目-汇总整理版 IBM公司各个岗位的笔试题目汇总,为各网站论坛搜集而来,仅供参考。 可以用来帮助熟悉题型,巩固知识点,对笔试过程有一个心理预期。

2023-03-26

ADI面试题-模拟ic方向

ADI面试题,模拟ic方向。 ADI是上海一流的模拟设计公司,面试问得问题比较实际,都是些做模拟设计一定要用到的知识,会根据所做的项目进一步深挖。 可以用来帮助熟悉题型,巩固知识点,对面试过程有一个心理预期。

2023-03-26

电工电子科技论文-浅析 EWB 应用

电工电子科技论文-浅析 EWB 应用 EWB 全称为 ELECTRONICS WORKBENCH EDA ,应用于模拟电路和数字电路的混合仿真,利用它可以直接模拟各种电路的输出波形。 EWB 应用于教学中对电路进行仿真,能看到电路动态的变化,从而更加直观明了的感受电路的构成和功能,可以很好加深对课堂知识的理解与运用。 本文是EWB软件的仿真练习 ,帮助加深对电容、三极管等元器件的作用原理和功能的认识和理解。

2023-03-22

AMD-数字IC岗笔经面经合集

AMD—数字IC岗笔经面经合集 能够快速帮你熟悉AMD的校招过程,包含公司简介、产品简介、发展历程、技术简介、重要历史回顾等公司相关信息。 包含多套不同岗位的笔试题、面试题以及经历分享,可以帮助你熟悉整个笔试和面试过程,提前对可能会问的问题有个预期。 包含了很多岗位必需的知识点,可以针对此进行查漏补缺。 包含了很多其他的综合求职经验分享,可以更好的准备针对个人情况的问题。

2023-03-22

2020汇顶校招芯片岗真题解析.pdf

2020汇顶校招芯片岗真题解析 选择题,数字芯片方向,有验证也有设计 阅读建议:需要电子技术基础,需要对Verilog语法和编程有一定程度了解,需要对数字验证过程有一定了解,需要对SystemVerilog语法和uvm验证方法学有一定了解 熟悉题型

2023-03-21

2020华为海思校招芯片岗真题解析修正版.pdf

2020华为海思校招芯片岗真题解析修正版 选择题,数字芯片方向 阅读建议:需要电子技术基础,需要对Verilog编程有一定了解 熟悉题型

2023-03-21

华为2020届机试题目-数字芯片.pdf

华为2020届机试题目,数字芯片方向 包括选择题,撕代码等主观题 阅读建议:需要电子技术基础,需要对Verilog编程有一定了解 熟悉题型

2023-03-21

模块设计-Verilog RTL-vending machine

概述:一种自动售货机vending machine的Verilog设计代码,可实现自动售货机的若干种基本操作,例如:用户启动、用户停止、接受投币、给出货物、取消找零等。 主体框架:售货机投币值规定为0.5元或者1元,货物定价为2.5元。大于等于2.5元后给出货物并相应给出找零,过程中用户如果取消则停止交易并找零,大于等于2.5元后不允许取消操作。 设计信号概述: (1)基本时钟与复位(复位低有效) (2)用户操作开始信号(op_start),用户操作取消信号(op_cancel) (3)用户投币信号(coin) (4)自动售货机工作占用信号(hold) (5)提示用户拿取货物信号(take) (6)找零提示信号(charge_sig),找零数量信号(charge_val) 适合人群:适合于对Verilog语法有基本了解以及熟悉数字设计的人员。 阅读建议:此资源仅为RTL设计代码,可以用作Verilog编程的练习,或者可根据此设计搭建验证平台来熟悉验证过程。

2023-03-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除