reg型变量怎么赋值_verilog数据类型 常量 变量

常量:        整数:,例如 4'b1010        x值(不定值)和z值(高阻值,也可用?代替)            x和z可以标识某一位或者某一个数字            4'b10x0,4'bx,4'b101z,4'bz,4'b?        负数:整数最前面加-        下划线:分割数字部分,更加易读(8'b1000_1000)    参数:parameter        parameter 参数名=表达式;        表达式只能是数字或者定义过的参数 变量:        wire型:wire [n-1:0] 数据名;            wire表示信号,常用来表示assign关键字指定的组合逻辑信号            wire型信号可以用作输入,输出        reg型:reg [n-1:0] 数据名;            对存储单元的抽象            常用来表示always模块内的指定信号,常代表触发器            always块内被赋值的每一个信号都必须定义为reg型        memory型:reg [n-1:0] 存储器名[m-1:0];            reg [n-1:0]表示基本存储单元的大小            存储器名[m-1:0]表示基本存储单元的个数,存储空间的容量            对存储器进行地址索引的表达式必须是常数表达式            一个n位寄存器可以在一条赋值语句里进行赋值,而一个完整的存储器不行    运算符及表达式:        基本运算符:+ - * / %        位运算

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,所有的变量都属于两个基本类:线网类(net/wire)和寄存器类(register)。Verilog将世界中的所有事物都看作是【数据】,并将【数据】分为两大类:【常量】和【变量】,它们都由标识符表示。 寄存器类变量与线网不同,它可以保存当前的数值,直到另一个数值被赋值给它。在保持当前数值的过程中,不需要驱动源对它进行作用。如果未对寄存器变量赋值,它的初始值为x。Verilog中的寄存器类变量包括reg、integer、time和real,其中reg是使用最广泛的寄存器变量[3]。 因此,Verilog变量包括线网类和寄存器类,其中寄存器类包括reg、integer、time和real。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [4,verilog变量说明](https://blog.csdn.net/fpga_start/article/details/122528204)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [verilog数据类型和表达式](https://download.csdn.net/download/weixin_38556541/12764825)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值