MATLAB读取指定的行数txt_(Testbench用法总结)1. Testbench中文本数据的存储读取操作对比...

f42fbd0dfd7e45ec79b4c4b29749dbef.png

写时一时爽,调试火葬场;多么深刻的说明了在整体设计过程中,调试所占据的重要性,而仿真调试也是调试里面重要的一环。

为了巩固自己的Testbench编写技术,为了与大家交流调试经验,写出这篇文章与大家共同交流。

本文主要讲述Testbench中如何读取和存储文本文件的数据,涉及到基础的Verilog语法。


对一些数据处理的模块进行调试仿真,模块需要特定的数据输入,比如单一频率的正弦波;为了解决这个问题,我们可以用matlab,python等工具生成文本数据,然后使用Verilog将数据读取进来;Testbench可以使用2种方法进行文本数据操作

  1. readmemb, readmemh, writememb, writememh操作
  2. fscanf, fwrite等操作

readmemb, readmemh, writememb, writememh操作

从字面意思理解,readmem是读取数据到memory,后缀的b, h代表了数据的进制;同理,writemem是将memory的数据写入到文件中;

所以,在使用这一类系统自带函数时,首先要有一个memory类型的变量。定义方法如下:

reg	

mem”变量“(应该叫寄存器组)有N个”一维“变量,每个”一维“变量的bit宽度为M;你可以将mem理解为C语言中的二维数组,里面包含了N个一维数组,每个一维数组有M个元素,元素为bit。

事实上,我们称M为mem的数据宽度,N为mem的数据深度。

以readmemb为例,进行数据读取操作

initial

readmemb的第一个参数为文件名,第二个参数为memory变量名;至此,data.txt内部的N行数据存入了mem里。readmemh操作类似,不同的是data.txt的数据要求为16进制。那么可能有人会有疑问了,假如有以下问题,mem存入的数据会是啥样:

  • data.txt每行的数据位宽小于M或者大于M

661c535d617d3f66734775768703c89e.png
图1 数据位宽小于M波形

2db36f01c40ba5e60b96438baa99fe1c.png
图2 数据位宽小于M存储

114f8c2e1e3dc16dc995cb21c6335d4b.png
图3 数据位宽大于M

086b33bbf124ef1ac5f6245c671877da.png
图4 数据位宽大于M,VCS警告

经过试验,M大于数据位宽,数据可以正常读取,高位补0;小于数据位宽,数据无法正常读取。

  • data.txt的数据不是二进制,或者不是纯数字

与M小于数据位宽的情况一致,无法正常读取数据。

  • 如果data.txt的行数小于N或大于N

34ab607c13672b7c82fb826d4938b4f0.png
图5 行数小于N

62cab7afc06ae9d3a451a4c79b9a2c6f.png
图6 行数大于N

经过试验,行数大于N,仿真器会出警告,但数据可以正常读取。小于N时,多余的部分memory的值为不定状态。

数据存入mem但还没有进入到模块的输入,接下来的操作可以参考下列代码:

reg	

代码里面,等待clk的上升沿,然后将mem的index元素赋值给data_in,然后index完成加1操作;整个过程不断循环;这里设置了index计数到N返回1的计数保护,防止出现无效数据。再将data_in与被测模块的数据输入端口相连,数据就送入进去了。

e8aa19d2d5b4d4324d0bdfedca2fc992.png
图7 送入的数据波形

writememb的操作与readmemb反过来,将mem的数据存储为文本操作如下:

initial

存储之后的

5d7ab9600e46fab2fb16c067e67b28f5.png
图8 writememh

181e909222183ca1586dd85a844bc2da.png
图9 writememb

fscanf, fwrite等操作

Verilog本身的语法与C类似,其自身也有文本操作的函数,也与C类似。使用Verilog对文本操作,首先需要进行如下操作:

integer 

如同C语言中的fopen一样,第一个参数为文件名,第二个参数为操作模式,包括读(r, rb),写(w, wb)等操作;根据返回值判断文件操作是否有错误。

然后,根据文本文件的数据格式,进行数据读取操作。

reg 

fscanf用法与C语言类似,文件句柄为第一个参数,第二个参数为格式参数,第三个为数据保存变量,但不需要加&了。读取文件的时候第二个参数与第三个参数需要对应,否则数据读取可能会出错。(亲身经历)

数据存储操作如下,在前面fopen使用w模式下:

always 

数据可以按照第二个参数的格式存储进文本文件。还有一系列如fdisplay, 相对于fwrite, 它的文件写入数据之后会自动到下一行,所以第二个参数不需要加入“n”;ftell等函数。注意,想要存储十进制的负数,除了第二个参数用%d,第三个参数的寄存器变量还要使用$signed转换为有符号数形式

139b99873fdcddd976ddef12cce71604.png
图10 正常情况文本操作读取后​存储的数据

7ea148be448b631bce33f8a4ebb459df.png
图11 寄存器位宽小于数据位宽时,文本操作读取后存储的数据

注意,当存储的寄存器位宽小于数据位宽时,数据会被自动截去高位保留低位。

之前使用文件操作存储被测模块的输出时,每次文件的数据量(行数)都与理想中的数目对不上,找各种原因,最后才发现自己犯了一个低级错误,没有使用fclose关闭文件句柄。

initial 

​停止仿真前,一定要用fclose关闭文件句柄,否则数据存取会出现不可预知的问题。

欢迎使用本文使用的Testbench做实验,注意,在windows下使用modelsim做实验时,文件名必须是绝对路径;tb中,使用"READMEM_ON"宏定义决定运行readmem或文件操作,可以尝试修改宏定义的值改变文件操作的函数类型;本文使用资源在公众号回复116获取;

两种方法差异对比

  1. readmem,writemem方法只能存取二进制或十六进制数据,数据格式固定,对多维其他格式数据读取不支持,没有文件操作灵活;文本操作方便其他的工具,如matlab,python处理数据
  2. readmem是可综合语句,所以可以用于对模块内的memory变量进行赋值,但其他语句是不可综合语句,只能用于仿真测试中
  3. 文件操作虽然支持各种格式的文本存取,但是操作上没有readmem, writemem简单;假如数据需要循环使用,readmem读取进memory之后,通过复位index就可以循环使用数据,而文本操作就麻烦一些。

另外,想问问大家,学习UVM的话,有没有什么比较好的学习资源或者学习方法。

欢迎大家关注Bug记录专栏,用微信扫描下方二维码或者搜索"BugRec"关注微信公众号"Bug记录",回复116获取本文的工程文件

http://weixin.qq.com/r/jhykvFvEKwZUrR-f90mc (二维码自动识别)


万物皆可卷积:(AXI使用学习)AXI Interconnect简明使用方法记录​zhuanlan.zhihu.com
7756a0546410b4f7af63815991552cff.png
万物皆可卷积:2021届EE找实习技术面试题目记录贴​zhuanlan.zhihu.com
1098acdf5539e11a15ef28a58af22dcd.png
万物皆可卷积:FIFO IP核报Memory Collision Error on RAMB36E1解决方案​zhuanlan.zhihu.com
0cf8b15017bcaf034caa752afb25e13f.png
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值