python 生成testbench,vivado+vscode配置流程

一、配置前准备

安装vivado

二、替换vivado默认编辑器

这里引用《小梅哥Xilinx FPGA自学教程V2.0》中关联使用"第三方编辑器notpad++"相关操作步骤

把notpad++换成vscode就行了

04654d8f625f143c1eea2534c5049695.png

ebe151e68f37f19b784689e36df0d84a.png

744adac2d2df5b3898c9dca5c8af4890.png

f41381697092ef6e100a3928546f37c7.png

6e7b9c6ff4a3ecfab45d43fdcdc28239.png

vscode相关配置

af751e016c5f7ce1e8b677b0aaaa7a85.png

D:/ProgrammingTool/Microsoft VS Code/Code.exe [file name] -n[line number]

三、verilog扩展实现vscode语法高亮

61a12fd96804a30dea91e2b1dc6c037e.png

扩展ID mshr-h.veriloghdl 可以复制该ID在vscode扩展商店搜索,或者直接输入verilog搜索,点击安装即可

9c1778eb9296a90e25c0f1ec13379c3e.png

四、给vscode扩展配置vivado语法自动纠错

这里借鉴了前人的经验 链接 第二步 实现自动纠错

注释,环境变量怎么配置

桌面->我的电脑->右键->属性->更改设置->高级->环境变量->用户变量里,选中变量名为path的->编辑->新建->......

具体自行百度"环境变量"

注释,添加完成后命令行输入

可以win+r 输入cmd回车完成验证

也可以在vscode中,ctrl+`调出终端完成验证

注释,将verilog的Linter更换成xvlog

b29efd575808fe34080801f73d15781b.png

齿轮->扩展设置->ctrl+f搜索关键字或者直接往下拉就出来了

五、使用vscode自动生成testbench仿真文件

还是借鉴前人的经验 链接 步骤三、自动生成Testbench

到这一步,仿真文件是需要在命令行复制粘贴的

六、Verilog_TestBench + python的chardet包实现仿真文件输出到文件夹

注释:

python安装教程

chardet安装注释:如果网速良好的话,在python环境配置成功后直接在cmd中输入:pip install chardet就可以线上安装,更为方便

de17caac2afca207b4c758283ce73091.png

注释:

win10打开powershell的方式

win + x + a

输入code $profile即可编辑

链接中的powershell脚本代码,只需要改这一行

2f9509ab512945771acc3c54bcb87860.png

这个路径的查找方式是直接搜索:vTbgenerator

27f291e97def044d0db6a798abefcae9.png

这里推荐一个方便快捷的盘内搜索软件:everything

小插曲:

在编辑好脚本之后出现了无法加载的问题

b5211cd37113a4d35aee57c7f81bd6a1.png

解决方法

标签:vscode,流程,注释,vivado,搜索,安装,链接

来源: https://www.cnblogs.com/cnlntr/p/14285493.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值