verilog 算法加速模块设计流程_基于FPGA的图像加速器的设计

基于

FPGA

的图像加速器的设计

介绍了一种基于

FPGA

的图像加速器的设计。本文硬件加速器的实质是通过流水线

设计来减少多余的操作达到加速的目的的,

内存是由

DMA

控制器访问,

之后

DMA

输出地址和

控制信号,

在实际应用中由于多个主设备可能同时访问内存而引起冲突,

因此需引入仲裁器,

控制内存的总线控制权由哪个主设备来控制,

之后经由边缘检测加速器来处理图像,

实验结

果表明它可以实时、高效地完成图像处理,这样可以在图像视频处理上发挥很好的作用。

关键字

FPGA;

硬件加速

;

图像边缘检测

1

引言

在实际应用中

,

为了完成信息的处理通

常选取

DSP

或者

ARM

作为处理器

.

但随着图

像越来越清晰所以对处理能力的要求很严

,

单个处理器不能解决个别实时系统对图

,

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值