韦东山 IMX6ULL和正点原子_「正点原子Linux连载」第十二章官方SDK移植试验

1)实验平台:正点原子Linux开发板

2)摘自《正点原子I.MX6U嵌入式Linux驱动开发指南
关注官方微信号公众号,获取更多资料:正点原子

360471cd6c5d6c46d2fd9938b5548e2c.png

第十二章官方SDK移植试验

在上一章中,我们参考ST官方给STM32编写的stm32f10x.h来自行编写I.MX6U的寄存器定义文件。自己编写这些寄存器定义不仅费时费力,没有任何意义,而且很容易写错,幸好NXP官方为I.MX6ULL编写了SDK包,在SDK包里面NXP已经编写好了寄存器定义文件,所以我们可以直接移植SDK包里面的文件来用。虽然NXP是为I.MX6ULL编写的SDK包,但是I.MX6UL也是可以使用的!本章我们就来讲解如何移植SDK包里面重要的文件,方便我们的开发。

12.1 I.MX6ULL官方SDK包简介

NXP针对I.MX6ULL编写了一个SDK包,这个SDK包就类似于STM32的STD库或者HAL库,这个SDK包提供了Windows和Linux两种版本,分别针对主机系统是Windows和Linux。因为我们是在Windows下使用Source Insight来编写代码的,因此我们使用的是Windows版本的。Windows版本SDK里面的例程提供了IAR版本,肯定有人会问既然NXP提供了IAR版本的SDK,那我们为什么不用IAR来完成裸机试验,偏偏要用复杂的GCC?因为我们要从简单的裸机开始掌握Linux下的GCC开发方法,包括Ubuntu操作系统的使用、Makefile的编写、shell等等。如果为了偷懒而使用IAR开发裸机的话,那么后续学习Uboot移植、Linux移植和Linux驱动开发就会很难上手,因为开发环境都不熟悉!再者,不是所有的半导体厂商都会为Cortex-A架构的芯片编写裸机SDK包,我使用过那么多的Cotex-A系列芯片,也就发现了NXP给I.MX6ULL编写了裸机SDK包。而且去NXP官网看一下,会发现只有I.MX6ULL这一款Cotex-A内核的芯片有裸机SDK包,NXP的其它Cotex-A芯片都没有。说明在NXP的定位里面,I.MX6ULL就是一个Cotex-A内核的高端单片机,定位类似ST的STM32H7。说这么多的目的就是想告诉大家,使用Cortex-A内核芯片的时候不要想着有类似STM32库一样的东西,I.MX6ULL是一个特例,基本所有的Cortex-A内核的芯片都不会提供裸机SDK包。因此在使用STM32的时候那些用起来很顺手的库文件,在Cotex-A芯片下基本都需要我们自行编写,比如.s启动文件、寄存器定义等等。

因为本教程是教大家Linux驱动开发入门的,本教程需要尽可能的降低入门难度,这也是为什么本教程会选择I.MX6U芯片的一个重要的原因,因为其提供了I.MX6ULL的裸机SDK包,大家上手会很容易。I.MX6ULL的SDK包在NXP官网下载,下载界面如图12.1.1所示:

09e40cf70d22f56333fe758cf305787f.png

图12.1.1 I.MX6ULL SDK包下载界面

我们下载图12.1.1中的WIN版本SDK,也就是“SDK2.2_iMX6ULL_WIN”,我们已经下载好放到光盘中,路径为:开发板光盘-> 7、I.MX6U参考资料->3、I.MX6ULL SDK包->SDK_2.2_MCIM6ULL_RFP_Win.exe。双击SDK_2.2_MCIM6ULL_RFP_Win.exe安装SDK包,安装的时候需要设置好安装位置,安装完成以后的SDK包如图12.1.2所示:

83168e8d3019f392d35924e2cb4da4f8.png

图12.1.2 SDK包

我们本教程不是讲解SDK包如何开发的,我们只是需要SDK包里面的几个文件,所以就不去详细的讲解这个SDK包了,感兴趣的可以看一下,所有的例程都在boards这个文件夹里面。我们重点是需要SDK包里面与寄存器定义相关的文件,一共需要如下三个文件:

fsl_common.h:位置为SDK_2.2_MCIM6ULLdevicesMCIMX6Y2driversfsl_common.h。

fsl_iomuxc.h: 位置为SDK_2.2_MCIM6ULLdevicesMCIMX6Y2driversfsl_iomuxc.h。

MCIMX6Y2.h:位置为SDK_2.2_MCIM6ULLdevicesMCIMX6Y2MCIMX6YH2.h。

整个SDK包我们就需要上面这三个文件,把这三个文件准备好,我们后面移植要用。

12.2硬件原理图分析

本章使用到的硬件资源和第八章一样,就是一个LED0。

12.3试验程序编写

本实验对应的例程路径为:开发板光盘-> 1、裸机例程->4_ledc_sdk。

12.3.1 SDK文件移植

使用VSCode新建工程,将fsl_common.h、fsl_iomuxc.h和MCIMX6Y2.h这三个文件拷贝到工程中,这三个文件直接编译的话肯定会出错的!需要对其做删减,因为这三个文件里面的代码都比较大,所以就不详细列出这三个文件删减以后的内容了。大家可以参考我们提供的裸机例程来修改这三个文件,很简单的。修改完成以后的工程目录如图12.3.1.1所示:

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值