python利用自动识别写模块_Python用imghdr模块识别图片格式实例解析

imghdr模块

功能描述:imghdr模块用于识别图片的格式。它通过检测文件的前几个字节,从而判断图片的格式。

唯一一个API

imghdr.what(file, h=None)

第一个参数file可以是用rb模式打开的file对象或者表示路径的字符串和PathLike对象。h参数是一段字节串。函数返回表示图片格式的字符串。

>>> import imghdr

>>> imghdr.what('test.jpg')

'jpeg'

具体的返回值和描述如下:

返回值

描述

检测方式

jpeg

用JFIF或者Exif格式保存的JPEG图片

第7到第10个字节是b'JFIF'或者b'Exif'

png

可移植网络图形格式(Portable Network Graphic Format)

以字节串b'\x89PNG\r\n\x1a\n'开头

gif

GIF(Graphics Interchange Format)的87版本和89版本

前6个字节为b'GIF87a'或者b'GIF89a'

tiff

TIFF(Tag Image File Format)的两种字节顺序

前两个字节为b'MM'或者b'II'

rgb

SGI ImgLib

以字节串b'\x01\xda'开头

pbm

Portable Bitmap

第1个字节为b'P',第2个字节为b'1'或b'4',第3个字节为b'\t'或b'\n'或b'\r'

pgm

Portable Graymap Files

第1个字节为b'P',第2个字节为b'2'或b'5',第3个字节为b'\t'或b'\n'或b'\r'

ppm

Portable Pixmap Files

第1个字节为b'P',第2个字节为b'3'或b'6',第3个字节为b'\t'或b'\n'或b'\r'

rast

Sun Raster

以字节串b'\x59\xA6\x6A\x95'开头

xbm

X Bitmap Files

以字节串b'#define ‘开头

bmp

Bitmap,Windows标准图像文件格式

以字节串b'BM'开头

webp

谷歌的WebP格式,Python3.5加入

以字节串b'RIFF'开头并且第9到第12个字节为b'WEBP'

exr

OpenEXR,Python3.5加入

以字节串b'\x76\x2f\x31\x01'开头

模块内部缺陷

当h参数不为空时,模块会忽略掉file参数,直接检测h参数,但此时file参数又是必须提供的,算是一个设计缺陷吧。博主个人感觉这个h参数根本没有存在的意义,没必要放在参数列表里面。

>>> import imghdr

>>> imghdr.what('test.jpg', b'\x89PNG\r\n\x1a\n')

'png'

>>>

自定义检测流程

imghdr内部使用了test_jpeg、test_png、test_gif等函数检测文件的格式。模块内部维护了一个函数列表imghdr.tests,每次调用what函数的时候,会按列表里的顺序调用检测函数,当检测函数返回结果时退出循环。用户可以通过修改这个列表达到修改检测流程的目的。同时,也可以自行添加检测函数到列表里面。

下面这个例子,博主添加了一个函数放在检测流程的最后提示文件不是图片:

>>> import imghdr

>>> def final(h, f):

... print("This file isn\'t a image!")

...

>>> imghdr.tests.append(final)

>>> imghdr.what("imghdr.md")

This file isn't a image!

自行添加检测函数需要接收两个参数h和f,h是用来检测的字节串,f是file对象。但是在模块内部自带的检测函数都是没用到这个f参数的…

命令行启动imghdr模块

博主读源码的时候发现了官方文档里面没提到的两个函数,提供了直接用命令行启动imghdr模块的方法。

只需要调用python -m imghdr [-r] file1 file2...就可以直接检测文件的类型。file可以是文件也可以是文件夹。该命令默认只检测文件夹下一层的文件类型,如果需要递归检测,则加上-r参数。

每个文件以”文件名: 文件类型/None”的形式打印一行输出结果。

总结:可能是因为使用的人少的原因,imghdr这个模块内部有挺多小问题,不过只要老老实实按照官方提供的文档使用是不会出事的。博主后面试着改一下这个模块然后pr上去。

以上就是本文关于Python用imghdr模块识别图片格式实例解析的全部内容,希望对大家有所帮助。感兴趣的朋友可以继续参阅本站其他相关专题,如有不足之处,欢迎留言指出。感谢朋友们对本站的支持!

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,这是一个关于Python正则表达式识别Verilog实例化子模块实例化时带有参数的问题。我会尽力回答。 首先,Verilog模块实例化是通过在代码中使用模块名和端口列表来完成的。当实例模块时,我们可以传递参数值给模块,这些参数值可以在模块中使用。 在Python中,我们可以使用re模块来编正则表达式来匹配Verilog代码中的模块实例化。下面是一个示例正则表达式,可以用来匹配带有参数的模块实例化: ```python import re code = """ module my_module #(parameter WIDTH = 8) ( input clk, input [WIDTH-1:0] data_in, output reg [WIDTH-1:0] data_out ); // some Verilog code here endmodule module top_module; // module instantiation with parameters my_module #(.WIDTH(16)) inst_name ( .clk(clk), .data_in(data_in), .data_out(data_out) ); endmodule """ # regular expression to match module instantiation with parameters pattern = r'module\s+(\w+)\s*#\s*\(([\w\s,=]+)\)\s*(\w+)\s*\(\s*(\.[\w\s,]+\s*\([^)]+\)\s*,?\s*)+\);' # find all module instantiations with parameters matches = re.findall(pattern, code) # print the matches print(matches) ``` 在上面的示例中,正则表达式模式使用了分组和字符类来匹配带有参数的模块实例化。其中: - 第一个分组匹配模块名称。 - 第二个分组匹配参数列表。 - 第三个分组匹配实例名称。 - 第四个分组匹配端口连接。 在代码中,我们使用re.findall函数来查找所有匹配项,并将它们存储在列表变量matches中。最后,我们打印出匹配项列表。 希望这个回答能够帮助你解决问题。如果你还有其他问题,请随时问我。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值