8分频verilog线_七、八分频电路Verilog源代码

本文介绍了如何使用Verilog语言设计八分频和七分频电路。提供了详细的模块代码,包括计数器逻辑和输出时钟占空比控制。通过测试文件验证了设计的正确性。
摘要由CSDN通过智能技术生成

八分频

模块文件:

module

div8(clk_i,clk_o,reset);

parameter

DIV_N = 8;

input

clk_i;

input

reset;

output

clk_o;

reg

clk_o;

integer

count;

always @

(negedge reset or posedge clk_i)

begin

if(!reset)

count

<= 0;

else

if(count

== 7)

count

<= 0;

else

count

<= count + 1;

end

always @

(negedge reset or posedge clk_i)

begin

if(!reset)

clk_o <= 0;

else

begin

if(count <= (DIV_N/2 - 1))

clk_o <= 0;

else

clk_o <= 1;

end

end

endmodule

测试文件:

`include

"div8.v"

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值