偶数分频(写一个八分频)

偶数分频,重点是写一个计数器和一个分频器,分频器要注意翻转的时间,在N/2-1和N-1的时候翻转

//写一个八分频代码
module divider8(
	input clk,
	input rst_n,
	output dividerclk
);

reg [2:0] count_n;
parameter N = 8;
//写一个计数器
always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		count_n <= 1'b0;
	else if(count_n == N-1)
		count_n <= 1'b0;
	else
		count_n <= count_n + 1'b1;
end

//写一个分频器
always@(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		dividerclk <= 1'b1;
	end
	else if(count_n == N/2-1) | (count_n == N-1)begin
		dividerclk <= ~dividerclk;
	end
end

endmodule
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值