时序图 循环_开新坑!静态时序分析学习!

96832b2b91a5fe5f51e742187b580422.png

逼迫自己学的最好方法,就是自己给自己挖坑。

买了这本书之后确实没有静下来心去读。现在自己挖个坑比自己整理,初步本专栏只是这本书的读书笔记。

d1a6f529e63c9702b054210dd9d0cc70.png

一章整理一次的, 简单的看一下目录吧:

前言

第1章引论

1.1集成电路发展史简介

1.2国内集成电路的发展现状

1.3国际集成电路的发展态势

1.4静态时序分析技术

1.4.1静态时序分析简介

1.4.2静态时序分析背景

1.4.3静态时序分析的优缺点

1.5主流静态时序分析与建模工具介绍

第2章静态时序分析的基础知识

2.1逻辑门单元

2.2门单元的时序计算参数

2.3时序单元相关约束

2.4时序路径

2.5时钟特性

2.6时序弧

2.7PVT环境

2.8时序计算单位

第3章单元库时序模型

3.1基本时序模型简介

3.2Synopsys工艺库模型

3.3延时计算模型

3.4互连线计算模型

3.4.1互连线计算模型

3.4.2线负载时序模型

3.5引脚电容值的计算

3.6功耗模型的计算

3.7时序信息建模基本方法

第4章时序信息库文件

4.1非线性延时模型

4.1.1库组

4.1.2因子

4.1.3输入电压组

4.1.4输出电压组

4.1.5功耗查找表模板组

4.1.6操作条件组

4.1.7线负载组

4.1.8延时查找表模板组

4.1.9单元组

4.1.10引脚组

4.1.11触发器组

4.1.12逻辑状态表组

4.1.13电源引脚组

4.1.14延时组

4.1.15单元上拉延时组

4.1.16单元下拉延时组

4.1.17上拉转换组

4.1.18下拉转换组

4.1.19上拉约束组

4.1.20下拉约束组

4.1.21内部功耗组

4.1.22哑阈漏流功耗组

4.2复合电流源延时模型

4.2.1输出电流查找表模板组

4.2.2输出上拉电流组

4.2.3输出下拉电流组

4.2.4向量组

4.2.5接收电容组

第5章静态时序分析的基本方法

5.1时序图

5.2时序分析策略

5.3时序路径延时计算方法

5.4时序路径的分析方法

5.5时序路径分析模式

5.5.1单一分析模式

5.5.2最好-最坏分析模式

5.5.3芯片变化相关分析模式

5.6时序减免

5.7其他芯片变化相关分析模式

5.8时钟路径悲观移除

5.9时序优化

第6章时序约束

6.1时钟约束

6.1.1创建时钟

6.1.2生成时钟

6.1.3虚拟时钟

6.1.4最小时钟脉宽

6.2I/O延时约束

6.3I/O环境建模约束

6.4时序例外

6.5恒定状态约束

6.6屏蔽时序弧

6.7时序设计规则约束

第7章串扰噪声

7.1噪声的定义

7.2噪声的来源

7.3噪声恶化的原因

7.4噪声的体现形式

7.5噪声相互作用形式

7.6NLDM噪声模型的计算

7.7噪声延时计算方法

7.8时间窗口

7.9优化噪声的物理方法

7.10CCS噪声模型

第8章单元时序建模实战

8.1时序信息提取实现

8.1.1时序信息特征化实现流程

8.1.2时序信息特征化数据准备

8.1.3标准单元时序信息提取

8.2SiliconSmart工具的使用流程简介

8.3时序信息提取内容

第9章静态时序分析实战(ETS篇)

9.1静态时序分析的基本流程

9.2建立静态时序分析的工作环境

9.3静态时序分析实现

9.3.1建立时间分析

9.3.2保持时间分析

9.3.3时序设计规则分析

9.3.4时序违反修复

第10章Tcl脚本编程

10.1Tcl语法

10.1.1命令格式

10.1.2替换

10.1.3双引号和花括号

10.1.4注释

10.2数据结构

10.2.1简单变量

10.2.2数组

10.3表达式

10.3.1操作数

10.3.2运算符和优先级

10.3.3数学函数

10.3.4列表集合

10.4控制流

10.4.1if命令

10.4.2循环命令

10.5eval命令

10.6source命令

10.7过程

10.7.1过程定义和返回值

10.7.2局部变量和全局变量

10.7.3默认参数和可变个数参数

10.8引用

10.9字符串操作

10.10文件访问

10.10.1文件名

10.10.2基本文件输入/输出命令

第11章Tcl脚本编程应用实例(PT篇)

11.1get_failing_paths_high_slew

11.2get_interclock_skew

11.3report_unclocked

11.4get_buffers

11.5get_ports_edge_sense

11.6report_clock_endpoint_skew

11.7report_violations

11.8eco_fix_violations

Keep moving!

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值