java bcd转二进制_实训汇编语言设计——将bcd码转化为二进制数

将bcd码转化为二进制数

data segment

bcd db 1,2,3,4,5    ;bcd码

a   dw ?              ; 用于存放bcd码转化后的十六进制数

data ends

stack segment para stack'stack'

stapn dw 50 dup(?)

stack ends

code segment

main proc far

assume ds:data,cs:code,ss:stack

start:

;set up stack to retuan

;set DS register to current segment

mov ax,data

mov ds,ax

mov ax,stack

mov ss,ax

;maim part of the code goes here

call convert            ;调用子程序

mov a,bx

ret

main endp

convert proc near

push si

push cx

push ax

mov si,4

l1:mov al,bcd[si]

cbw

;digit is now in ax

;multiply number in bx by 10 decimal

xchg ax, bx

mov cx,10d

mul cx

xchg ax,bx

;Add digit in ax to number in bx

add bx,ax

dec si

jnz l1

pop ax

pop cx

pop si

exit:mov ah,4ch

int 21h

convert endp

code ends

end start

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值