BCD码与二进制相互转换

二进制转换成BCD码

/*二进制转成BCD码*/
uint8_t byte_bintobcd(uint8_t bin)
{
	uint8_t ret;
					
	bin&=0x7f;		
	bin%=100;		
	ret=bin/10; 	
	ret <<=4; 		
	ret|=bin%10; 
	return ret;
}

BCD码转换成二进制

/*BCD码转换成二进制*/
uint8_t byte_bcdtobin(uint8_t bcd) 						  
{ 
	uint8_t  ret;
					
	ret=bcd & 0x0f; 	
	ret+=((bcd&0x70)>>4)*10;
	return ret; 
}

BCD码转换成10进制


/*BCD码转换成10进制*/
uint8_t bcdtodec(uint8_t bcd)
{
	return(((bcd>>4)*10)+(bcd&0x0f));
}
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值