串口接收 不停接收ff_串口接收+VGA显示设计实验(二)

该博客介绍了通过RS232接口接收上位机发送的图片数据并用FPGA进行处理的实验。设计包括UART接收模块以9600bps波特率捕获数据,使用16倍频采样策略;FIFO存储接收到的数据,并在非空时由UART_tx读取并经串口发送回上位机。同时,详细阐述了PLL产生UART时钟、UART_rx的数据转换以及FIFO和UART_tx的控制流程。最终,实现图片数据在FPGA上的VGA显示。
摘要由CSDN通过智能技术生成

方案设计:

(一)通过RS232接口,接收上位机发送的图片数据,需要通过UART进行接收部分的控制。

(二)将接收的数据存储到FIFO中。

(三)通过RS232发送模块,对FIFO中的数据进行读取,然后通过串口发送到上位机中





1. UART接收部分设计

接收部分要注意UART的接受波特率为9600it/s,数据的格式为起始位1位,数据位8位,停止位1位,无奇偶校验位,一帧数据共10位。

66feb72825634d13d0a8f4548439baff.png

从图可以看出,每一帧数据的空闲位均为高电平,起始位为低电平,接着是8位数据位。当检测到高电平和低电平的变化沿时,UART模块开始计数,计到起始位结束,开始接收8位数据,然后将接收的串行数据转换成并行数据,并给出一帧接收结束的标志位信号。

在9600bit/s波特率时,串口接收控制模块的工作频率为9.6kHz,但如果使用这个频率,无法检测到串口信号的边沿变化,根据采样定理,最低采样频率必须是信号频率的两倍,本次实验我们使用16倍频信号进行检测,那么串口接收控制模块

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值