自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

fighting2019的博客

2019,加油!

  • 博客(17)
  • 资源 (28)
  • 收藏
  • 关注

转载 4.1 短训练序列的生成

4.1 短训练序列的生成  根据之前所述,物理层协议数据单元(PPDU)帧结构中,IEEE 802.11a标准定义了短训练序列的结构和数值。 短训练序列的主要用途是进行信号检测、自动增益控制(AGC)、符号定时和粗频率偏差估计。它包括10个重复的符号,每个长度800ns。从频域来看,这些短训练序列数据占用每个OFDM符号(52个非零子载波)中的12个(载波间隔是正常符号的4倍)。 ...

2018-10-28 20:34:03 4181 6

转载 4.2 长训练序列的生成

4.2 长训练序列的生成 从时域上来看,帧结构在短训练序列之后是长训练序列,其长度为8us,其中包括二个有效OFDM符号的长度(每个3.2us)和一个长型保护间隔的长度(1.6us)。         长训练序列主要用于精确的频率偏差估计和信道估计。从频域来看,场训练序列符号与政策OFDM符号一样由53(包括直流处一个取“0”值的空符号)个子载波组成,分别占据从-26~26的子信道。...

2018-10-28 20:33:47 3074 8

原创 FFT_matlab实现

MATLAB程clear;clc;clf;N=16;n=0:15;xn=[3 0 8 0 1 0 3 0 1 1 0 0 0 0 0 0];%xn=[3 0 8 0 1 0 3 0 1 1 12 0 2 0 5 2];W=[1 1 1 1;1 -1j -1 1j;1 -1 1 -1;1 1j -1 -1j];X1=0.*n;for n0=0:3    X1(n0+1)=W(1,...

2018-10-28 20:29:55 4949

转载 OFDM信道调制解调的仿真及其FPGA设计

OFDM信道调制解调的仿真及其FPGA设计OFDM(正交频分复用)是一种高效的多载波调制技术,其最大的特点是传输速率高,具有很强的抗码间干扰和信道选择性衰落能力。OFDM最初用于高速MODEM、数字移动通信和无线调频信道上的宽带数据传输,随着IEEE802.11a协议、BRAN(Broadband Radio Access Network)和多媒体的发展,数字音频广播(DAB)、地面数字视频广...

2018-10-27 12:23:22 3658

转载 一种OFDM调制解调器的FPGA实现

正交频分复用(OFDM)技术由于可以在提高数据传输速率的同时有效地对抗符号间干扰(ISI),被广泛认为是高速数字传输的首选调制技术。目前OFDM已是欧洲数字音频/视频广播(DAB/DVB)、IEEE802.1la无线局域网(WLAN)的核心调制技术,而且有望成为3Gbeyond / 4G的关键技术。在OFDM逐渐成熟的今天,如何降低通信系统的成本,使之能广泛的应用于数据传输系统当中,也成为OF...

2018-10-27 11:18:34 6026 1

转载 4.3.5 导频插入

http://blog.163.com/fantasy_sunny/blog/static/195918212201411831053602/4.3.5 导频插入      在接收机中,虽然利用接收到的短训练序列、长训练序列可以进行信道均衡、频率偏差校正,但符号还会存在一定的剩余频率偏差,且偏差会随时间的积累而积累,会造成所有子载波产生一定的相位偏转。因此,还需要不断地对参考相位进行跟...

2018-10-24 16:02:06 3705 2

转载 4.3.3 交织

4.3.3 交织 交织是为了在时域或频域或者同时在时域、频域上分布传输的信息比特,使信道的突发错误在时间上得以扩散,从而使得译码器可以将它们当作随机错误处理。         通信系统的交织模式取决于信道特性。如果系统在一个纯粹的AWGN环境下运行,即准平稳信道,那么在一个数据包的持续时间上基本没有什么变化,就不需要交织。因为这时,通过重新分配的方法是无法改变误码分布的。   ...

2018-10-24 16:01:11 3727 1

转载 4.3.2 信道编码 ——卷积码

4.3.2 信道编码 ——卷积码   信道编码是为了保证通信系统的传输可靠性,克服信道中的噪声和干扰而专门设计的一类抗干扰技术和方法。它根据一定的监督规律在待发送的信息码元中(人为的)加入一些必要的监督码元,在接收端利用这些监督码元与信息码元之间的监督规律,发现和纠正差错,以提高信息码元传输的可靠性。         其中,称待发送的码元为信息码元,人为加入的多余码元为监督(或校验)码...

2018-10-24 16:00:25 18209 1

转载 4.4.6 采样频率同步(一)

4.4.6 采样频率同步(一)采样频偏产生原因:      在OFDM系统中,发射机和接收机的ADC、DAC的晶振不可能具有完全相同的时钟周期和相位,这将导致二者的采样间隔之间会慢慢产生偏差,从而对整个系统的性能带来严重影响。(The BER performance of OFDM system using non-synchronized samling, 1994:1142-1149)...

2018-10-24 15:55:56 5742 2

转载 4.4.5 信道均衡(二)

4.4.5 信道均衡(二)  基本上 《XILINX FPGA的OFDM通信系统基带设计》一书已经带有各部分的代码,我做的工作就是将代码整合到一起,并按照仿真修改,让其能正常工作。本文将对实现中的重点部分做解释,并指出需要修改的地方。 一、长训练符号提取模块    在经过【符号同步】后,输出的数据已经是长训练符号+数据符号,从symbol的数值可以知道长训练符号的起始点。这样可以很...

2018-10-24 15:54:32 2752 1

转载 4.4.5 信道均衡(一)

4.4.5 信道均衡(一)一、为什么要信道均衡?    数字信号在实际信道上传输时,由于信道传输特性不理想以及信道噪声的影响,接收端接收到的信号不可避免地发生错误。为了恢复发送的数据信息,接收端需要估计出信道的特性,并对接收到的数据进行校正。 二、信道均衡的常用方法    一般来说有二种方法:差分检测和相干检测。 差分检测:比较相邻两个信号的相位和幅度的差值; ...

2018-10-24 15:53:35 21501 3

转载 4.4.3 符号同步(二)

4.4.3 符号同步(二)  基本上 《XILINX FPGA的OFDM通信系统基带设计》一书已经带有各部分的代码,我做的工作就是将代码整合到一起,并按照仿真修改,让其能正常工作。本文将对实现中的重点部分做解释,并指出需要修改的地方。 time_sync 顶层 `timescale 1ns / 10ps////////////////////////////////////...

2018-10-24 15:52:35 1487

转载 4.4.3 符号同步(一)

4.4.3 符号同步(一)  进行分组检测后,载波同步后,数据还只是一个粗略的分组,并没有准确的定时分组,这就需要符号同步来完成。简单点说就是一堆数据流,我们需要解除CP(循环前缀),分离出每一个OFDM符号。可以提前看看仿真图:  DataIn是一个连续的数据流,DataOut就是将其中每一个OFDM符号分离出来,方便后面进行FFT处理。  符号同步的原理...

2018-10-24 15:51:03 5384 1

转载 4.4.2 载波同步(二)

4.4.2 载波同步(二)基本上 《XILINX FPGA的OFDM通信系统基带设计》一书已经带有各部分的代码,我做的工作就是将代码整合到一起,并按照仿真修改,让其能正常工作。本文将对实现中的重点部分做解释,并指出需要修改的地方。 1、数据分流模块    在经过 【分组检测】 模块后的数据,包括1个不完整的短训练符号(长度<16)、9个完整的短训练符号(9*16)、2个长训练...

2018-10-24 15:49:51 2742 1

转载 4.4.2 载波同步(一)

4.4.2 载波同步(一)          下载LOFTER我的照片书  |一、为什么要载波同步?    OFDM技术是同时在多个重叠子信道上传输信号,必须严格保证子载波的正交性。但是由于多普勒频移和收发晶振的不完全相同,存在一定的载波频率偏差,这将破坏子载波间的正交性,且这种频差对相位的影响还具有累加性!子载波的正交性被破坏了,解出来的信息都是错的,那O...

2018-10-24 15:48:10 7624

原创 用Sytem Generator 进行系统级建模

用Sytem Generator 进行系统级建模到完成设计包括以下几个步骤:(1)用数字语言来描述算法(2)在设计环境中实现算法,开始时使用双精度(3)把双精度算法转换成定点算法(4)把设计转换成有效的硬件基本操作:1.2.新建一个model,加入Sources中Constant模块和Sinks中的Scope模块,进形仿真3.双击Scope3数据...

2018-10-21 21:16:28 325

原创 Arm-Linux学习笔记

目录第二章 Linux开发平台搭建2.1安装虚拟工作站2.2安装Linux虚拟机 2.2.1VMware 安装中文Fedora142.3虚拟机的一些基本配置2.3.1 Fedoda安装vmware tools2.4安装软件第二章 Linux开发平台搭建2.1安装虚拟工作站目前比较流行的虚拟工作站比较多,推荐使用VM-ware WorkStation。建立虚拟...

2018-10-19 16:54:41 481

2-3-重点提纲.pdf

RTL 设计指导原则 RTL 设计指导原则 RTL 设计指导原则 RTL设计指导原则。常见“面积换速度”的设计方法;流水线概述。

2020-04-09

VerilogHDL可综合描述原则,常用语法描述对应的硬件结构2-1-重点提纲.pdf

VerilogHDL可综合描述原则,常用语法描述对应的硬件结构

2020-04-09

数字芯片设计基础概念重点提纲.pdf

数字芯片设计基础概念

2020-04-09

2-2-重点提纲.pdf

可综合风格——在 RTL 书写中如何考虑延迟、面积等

2020-04-09

VIP_RAW8_RGB888.v

RAW8_RGB888

2020-04-07

YCbCr422_YCbCr444.v

YCbCr422_YCbCr444

2020-03-31

VIP_YCbCr444_RGB888.v

YCbCr444_RGB888

2020-03-31

VIP_RGB888_YCbCr444.v

RGB888_YCbCr444

2020-03-31

vivado_design.zip

vivado_design中uitra96_v2目标检测中生成的比特流和hdf文件,硬件资源信息,可直接用来构建petalinux

2019-12-12

DPU-Integration.zip

Edge-AI-Platform-Tutorials-master DPU_integration 包括DPU在vivaado的硬件搭建

2019-12-12

xqspips_g128_flash_example.c

xqspips_g128_flash_example.c文件,为QSPI Flash驱动源代码

2019-07-08

project_7.zip

zedboard将ZYNQ的EMIO映射到PS端串口1使用收发,实现任意收发

2019-06-16

LatticeECP3MemoryUsageGuide

LatticeECP3MemoryUsageGuide LatticeECP3MemoryUsageGuide

2019-03-15

E波段阵列天线设计

E波段阵列天线设计,本人根据项目,整理的技术文档,分献给大家,里面一步步介绍如何设计低副瓣阵列天线,采用切比雪夫和泰勒加权的方式,完成8*8阵列天线的研究

2019-01-09

Xilinx_LTE_FFT_V2.0

根据官网申请的lte_fft V2.0的IP,管网就给了简单介绍,本数据手册给予了全面的介绍

2018-12-07

OFDM中的关于扰码器的个人设计的MATLAB的算法

OFDM中的关于扰码器的个人设计的MATLAB的算法 实现ofdm中的扰码部分

2018-11-23

ofdm_matlab_tx_rx

demodulation.m depuncture.m Downconverter.m framework_withOFDM.m Hviterbi_zp_3.m 。。。。。。。。

2018-11-23

deep learning for joint channel estimation and detection in OFDM system

This is the readme for applying deep learning for joint channel estimation and detection in OFDM system. 只是其中一部分,另一部分,分开上传,因为太大le The codes have been tested on Ubuntu 16.04 + tensorflow 1.1 + Python 2.7 Dependences: 1. Tensorflow 2. Winner Channel Model Get Start: cd ./DNN_Detection python Example.py

2018-11-23

IEEE 802.11 OFDM-based transceiver system

# IEEE 802.11 OFDM-based transceiver system This repos contains the implementation of IEEE 802.11 (i.e. Wifi) OFDM-based transceiver system. This is stored in 2 separate parts, i.e. transmitter (TX) and receiver (RX). Each part includes implementation files stored in **MY_SOURCES** and **IPCORE**, and simulation golden model stored in **MATLAB**. **MY_SOURCES** contains hdl files using verilog to implement the sub-modules (*.v) of systems and to make a testbench files (*_tb.v). There are some pre-computed cofficient sets defined by the standard (e.g. preamble) are stored in '*.txt' files. OFDM_TX_802_11.v and OFDM_RX_802_11.v are the top modules of transmitter and receiver systems, respectively. **IPCORE** contains the configured files of IPCores instantiated by impelemented systems. The IPCores are generated using ISE Design Tool. **MATLAB** contains matlab files that simulate 802.11 OFDM signals as a golden model for implementation. The matlab files are also used to generate test vector for testbench and verify the output files from testbench.

2018-11-11

基于xilinx fpga OFDM通信系统基带设计

基于xilinx fpga OFDM通信系统基带设计,很好的书,包括理论和实践

2018-10-29

xiliix_FPGA数字信号处理设计教程

xiliix_FPGA数字信号处理设计教程,systerm generator入门与提高

2018-10-29

fft_vrilog

FFT implement by verilog_测试验证已通过,包括fft的理论分析以及验证

2018-10-28

verilog实现OFDM基带

verilog实现OFDM基带 开发工具:Quartus II 15.0 (64-bit) Modelsim SE-64 10.2c FPGA型号: Cyclone V SX SoC—5CSXFC6D6F31C6N 硬件平台:SoCKit( Cyclone V) + ARRADIO(AD9361) 目录说明 matlab_sim : ofdm基带发送部分matlab仿真代码 scripts : Modelsim功能仿真脚本文件 sim :Modelsim功能仿真工作目录及输出结果 source :ofdm基带发送部分Verilog代码及其功能仿真代码 synthesis :Quartus II工程文件 tb : ofdm基带发送部分功能仿真顶层文件 Modelsim功能仿真ofdm基带发送部分 切换modelsim路径至scripts目录下,执行do tx_msim.tcl

2018-10-28

MIMO-OFDM无线通信技术及MATLAB实现

MATLAB Code for MIMO-OFDM Wireless Communications with MATLAB | MIMO-OFDM无线通信技术及MATLAB实现

2018-10-28

openofdm关于802_11

Sythesizable, modular Verilog implementation of 802.11 OFDM decoder. http://openofdm.rtfd.io

2018-10-28

无线通信的matlab和fpga实现.西瑞克斯

xilinx大学指定教材,用于无线通信的matlab和fpga实现

2018-10-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除