DDR3的一些知识点

1.列地址不会用到A10和A12,因为这2根地址线在发送读写命令时,表示的是AP和BC#功能。

2.pagesize实际上就是一行的容量。

3.ODT有Rtt_nom和Rtt_wr 这2种电阻。ODT是为了减小信号反射,改善信号完整性问题(SI)。

4.DLL是延时锁相回路,目的是用于同步颗粒的内、外部时钟,主要在读操作时起作用。

5.DDR3是8n预取,core阵列在存储数据的时候,可以理解为一次存一个burst的数据,即8x8=64bit,从阵列读出数据也是一次读出64bit,经过读写buffer后才按照8bit输出。

6.列地址的低3bit是不参与列地址译码的,这和8n预取有关,列地址的低3bit用来决定burst order。

7.TDQS功能只在x8颗粒中有,主要用于x8颗粒和x4颗粒混用的系统,TDQS的作用只是提供和DQS相同的端接电阻。

。。。。。。(大家有疑问的点,可以在留言区留言,欢迎讨论)

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
DDR3 Verilog是一个用于编写DDR3接口控制器的硬件描述语言。它用于实现DDR3数据读写功能。在使用Verilog编写DDR3接口控制器时,可以遇到许多问题,但通过解决问题和学习新的知识,最终可以成功实现DDR3数据的写入和读取功能。 在Verilog编写DDR3接口控制器时,需要使用地址系统来读取数据。读取数据只需要提供地址和读取的数据个数。数据个数可以理解为给出了多少个地址,这个可以自由决定。读取数据需要一定的时间延迟,因为DDR3也需要反应时间。在DDR3准备好数据后,通过app_rd_data端口发送数据,并给出app_rd_data_valid信号。只有当app_rd_data_valid为高时,读取的数据才是有效的。 如果想要实现DDR3读写测试功能,可以将IP核添加到Verilog代码中。通过添加IP核,可以实现DDR3数据的读写功能。然而,请注意作者可能存在疏漏,文档中可能会有一些错误或不完整的地方,欢迎读者批评和指正。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [DDR3基本的读写测试,适用于verilog语言学习](https://blog.csdn.net/ForeveryMissYou/article/details/119788247)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值