TM4C123GX6PM-Launchpad学习记录(2)-脉冲宽度调节器

脉冲宽度调节器 PWM(Pulse Width Modulation)

PWM信号任然是数字的,依靠产生的矩形波,将矩形波的占空比作为模拟量(模拟电压)。

输出电压=(接通时间/周期时间)X 最大电压值

优点:无需模数(A/D)转换,从处理器到被控系统都是数字信号,可以将噪声影响降至最低,技术成本低,易于实现,控制灵活,抗噪声能力强。

TM4C123H6PM微控制器包含两个PWM模块(PWM Model,PWM0和PWM1),每个PWM模块中又有四个PWM发生器模块(PWMGenerator0~3)和一个控制模块,每个发生器模块又对应两个PWM输出(PWM Output),每个输出都有其对应的输出引脚,这些引脚许多都有复用,具体使用时需要设置为PWM输出模式。一个发生器模块有两个输出,意味着对同一个发生器模块的两个输出来说,PWM频率(计数器的重装载值)是相同的,但占空比可以是不同的。

PWM有两种计数模式Count-Down和Count-Up/Down


各寄存器的偏移地址在用户手册PWM中的Register Map中有表格,其中PWM0的基地址为0x4002.8000,PWM1的基地址为0x4002.9000。

PWMCTL            控制模块的寄存器,包含更新模式的位和字段等

PWMSYNC         PWM 时基同步

PWMENABLE       PWM输出使能

PWMnLOAD                                     存放Load重载值

PWMnCMPA        发生器寄存器        存放匹配(比较)值A

PWMnCMPB                                     存放匹配(比较)值B

PWMnINTEN        PWM的中断和触发使能

PWMSignals

  • 5
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值