matlab实现卷积编码与viterbi译码

1.仿真代码

clear all
EbN0 = 0:2:10; %SNR范围
N = 1000000; %消息比特个数
M = 2; %BPSK调制
L = 7; %约束长度
tre1 = poly2trellis(L,[171 133]); %卷积码的生成多项式
tblen = 6*L; %Viterbi译码器回溯深度
msg = randi([0,1],1,N); %消息比特序列
msg1 = convenc(msg,tre1); %卷积编码
x1 = pskmod(msg1,M); %BPSK调制
for ii=1:length(EbN0)
    ii
    y = awgn(x1,EbN0(ii)-3); %加入高斯白噪声,因为码率为1/2,所以每个符号的能量要比比特能量减少3dB
    y1 = pskdemod(y,M); %硬判决
    y1 = vitdec(y1,tre1,tblen,'cont','hard'); %Viterbi译码
    [err ber1(ii)] = biterr(y1(tblen+1:end),msg(1:end-tblen)); %误比特率
    
    y2 = vitdec(real(y),tre1,tblen,'cont','unquant'); %Viterbi译码
    [err ber2(ii)] = biterr(y2(tblen+1:end),msg(1:end-tblen)); %误比特率
end
ber = berawgn(EbN0,'psk',2,'nodiff'); %BPSK调制的理论误比特率
semilogy(EbN0,ber,'-ko',EbN0,ber1,'-k*',EbN0,ber2,'-k+');
legend("BPSK调制的理论误比特率","硬判决误比特率","软判决误比特率");
title("卷积码性能")
xlabel("Eb/N0");ylabel("误比特率");

2.仿真结果

  • 13
    点赞
  • 100
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
卷积码是在信息序列通过有限状态移位寄存器的过程中产生的。通常,移存器包含N级(每级A比特),并对应有基于生成多项式的m个线性代数方程,输入数据每次以A位(比特)移入移位寄存器,在此同时有n位(比特)数据作为己编码序列输出,编码效率为A/n。参数N被称作约束长度,它指明了当前的输出数据与多少输入数据有关。它决定了编码的复杂度。 译码器的功能就是,运用一种可以将错误的发生减小到最低程度的规则或方法,从已编码的码字中解出原始信息。在信息序列和码序列之间有一对一的关系。此外,任何信息序列和码序列将与网格图中的唯一一条路径相联系。因而,卷积译码器的工作就是找到网格图中的这一条路径。 Viterbi算法可被描述如下; 把在时刻i,状态 所对应的网格图节点记作 ,每个网相节点被分配一个值 。节点值按如下方式计算: (1)设 , 。 (2)在时刻i,对于进入每个节点的所有路径计算其不完全路径的长度。 (3)令 为在i时刻,到达与状态 。相对应的节点 的最小不完全路径长度。通过在前一节点随机选择一条路径就可产生新的结果。非存留支胳将从网格图中删除。以这种方式,可以从 。处生成一组最小路径。 (4)当L表示输入编码段的数目,其中每段为k比特,m为编码器中的最大穆存器的长度,如果 ,那么令 ,返回第二步。 一旦计算出所有节点值,则从 时刻,状态 。开始,沿网格图中的存留支路反向追寻即可。这样被定义的支路与解码输出将是一一对应的。关于不完全路径长度,硬判决解码将采用Hamming距离,而软判决解码将采用Euclidean距离。
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值