FPGA(一)Vivado与ModelSim

本文详细介绍了Vivado和ModelSim的安装步骤,包括下载、安装、破解过程,并提供了相关资源链接。对于Vivado,讲解了如何创建工程和理解界面布局;对于ModelSim,阐述了其主要功能和手动仿真的基本操作。文章适合初学者参考,以了解这两款FPGA设计与仿真的关键工具。
摘要由CSDN通过智能技术生成

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

提示:这里可以添加本文要记录的大概内容:
例如:随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。


提示:以下是本篇文章正文内容,下面案例可供参考

一、Vivado与ModelSim

Vivado Design Suite 是 Xilinx 公司的2012年发布的综合性 FPGA 开发软件,可以完成从设计输入到硬件配置的完整FPGA 设计流程。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于AMBA AXI4 互联规范、IP-XACT IP封装元数据、工具命令语言(TCL)、Synopsys 系统约束(SDC) 以及其它有助于根据客户需求量身定制设计流程并符合业界标准的开放式环境。赛灵思构建的的Vivado 工具把各类可编程技术结合在一起,能够扩展多达1 亿个等效ASIC 门的设计。

Modelsim是Mentor公司的语言仿真器,它支持Windows 和 Linux 系统,是单一内核支持 VHDL 和 Verilog 混合仿真的仿真器。它采用直接优化的编译技术、单一内核仿真,不仅编译仿真速度业界最快、编译的代码与平台无关,而且便于保护 IP 核。它还提供了最友好的调试环境,具有个性化的图形界面和用户接口,为用户加快调试提供强有力的手段,它是 FPGA/ASIC 设计的首选仿真软件。

二、安装步骤

1.Vivado的安装

①Xilinx 公司每年都会对 Vivado 设计套件进行更新, 各个版本之间除界面以及其它性能的优化之外,基本的使用功能都是一样的。我使用的是Vivado v18.3版本,有需要的同学请自取。

安装包链接:https://pan.baidu.com/s/1qJquE9NcB22mqNdK9h9rdg 
提取码:vylt 

②开始安装
双击压缩包
注意,解压目录的路径名称只能够包含字母、数字、下划线,否则安装程序有可能出问题,为避免在安装过程中出错,在开始安装之前,请先关闭安全或杀毒软件。双击解压出来的文件夹下的“xsetup.exe”,开始安装 Vivado 软件,如下图所示:
在这里插入图片描述
进入 Vivado 的安装引导页面,如下图所示:
在这里插入图片描述
此时,如果电脑连接到了互联网,有可能会弹出如下消息框:
在这里插入图片描述
直接点击Continue即可,不用安装最新的版本。
然后点击“Next”
在这里插入图片描述
在接下来的页面中,勾选 3 个“I Agree”,然后点击“next”:
在这里插入图片描述
接下来是选择版次,这里我们选择全功能的版次,即“System Edition”,其包含最多的子组件:
在这里插入图片描述

接下来接下来是选择工具组件和器件库。如果内存足够大的话,可以默认全选;如果觉得自己的磁盘不够大,可以将用不到的工具组件和器间库掉。下面的图是将用不到的工具组件和器件库去掉之后的截图,应该是节省了十多个G来着的:

在这里插入图片描述
接下来是安装目录的设置,大家都知道尽量不要安装到系统盘里吧,并且安装路径只能够包含字母、数字、下划线,否则安装程序有可能出问题,其他的设置保持默认即可,然后点击Next:
在这里插入图片描述
接下来进入Summary 界面,该界面总结了前面所有安装的配置信息,供用户浏览确认。确认无误后,点击“Install”开始安装 Vivado 设计套件:
在这里插入图片描述
安装过程中可能会弹出消息界面提醒我们断开所有的 Xilinx 下载器与电脑的连接。值得注意是,在安装 Vivado 软件的过程中,会安装 Xilinx 下载器的驱动程序,这里必须断开 Xilinx 下载器和电脑的连接,否则下载器的驱动可能安装失败。断开连接后, 点击确定即可。

当弹出这个界面就说明我们安装完成了,直接点击确定即可:
在这里插入图片描述

注:以上安装过程是我买了领航者V2后,从正点原子的教学视频这里学来的,特此感谢!
但是呢,正点原子让我自己想办法去破解,这点还是要吐槽的!

我就自己在网上找呀找,终于找到解决方法了,接下来是重点,小本本记好!

③破解

首先获得License,有需要的同学自取:

	链接:https://pan.baidu.com/s/1xgtkq3lvnUkJjZB1fV_CKw 
	提取码:t5s4 
	这个很小,下得很快!

用之前要先解压缩的哈。

在这里插入图片描述
找到解压缩之后文件,加入即可:
在这里插入图片描述

之后看一下自己的破解状态,这个是可以用到2037年的:

在这里插入图片描述
至此,Vivado的安装破解就完成了!

2.ModelSim的安装

①Modelsim 有几种常见的版本: SE(System Edition)、 PE(Personal Edition)和 OEM(Orignal EquipmentManufactuce,即原始设备制造商),其中 SE 是最高级的版本,而集成在 Altera、 Xilinx 以及 Lattice 等 FPGA厂商设计工具中的均是 OEM 版本。我使用的是功能最全的SE版本。老规矩,自取哈:

64位版本:链接:https://pan.baidu.com/s/1ifojKAZ_Pd_okk9VuOP2Dg 
		提取码:ca3u 
32位版本:链接:https://pan.baidu.com/s/1Di4IpxTd32zA51FwZ8wwHA 
		提取码:l4u0 

②开始安装
我用的64位版本,不太清楚32位会发生什么问题哈!

双击进入安装界面:
在这里插入图片描述
在这里插入图片描述
更改安装路径,也不用多说了哈,记住路径不要有中文以及特殊的标准符号哈,总之,越简单越好:
在这里插入图片描述
在这里插入图片描述
之后是等待安装,会弹出问你是不是要创建桌面快捷方式,看你需求啦:
在这里插入图片描述
第二次提示是否将 Modelsim 可执行文件放入 Path 变量,选择“Yes”时可以从DOS 提示符执行Modelsim,这里要选择“Yes”:
在这里插入图片描述
安装完成后进入下图所示界面,大致内容为:如果你有 License,请选择“No”,选择“Yes”将会为 Modelsim-64 使用的 HW 安全 key 安装一个软件驱动,如果你不确定你电脑上的驱动是否适用于此版本, 选择“Yes”会重启电脑完成整个安装。这里因为有 License,所以选择“No”,当然了如果经过整个的指导操作还是使用不了,不妨卸载了选择“Yes“试试,一般选择“No“是没问题的。
在这里插入图片描述
这样就完成安装了。

注:以上安装过程是我买了领航者V2后,从正点原子的教学视频这里学来的,特此感谢!
	但是呢,正点原子让我自己想办法去破解,这点依旧要吐槽的!

如果没有License的话都没办法打开这个软件,那就又只能自己想办法了!

③破解
依旧需要破解包,自取呀:

链接:https://pan.baidu.com/s/1uDL2ReTljrcRWfTno1ZVZQ 
提取码:j4fu 

解压缩之后把得到的两个文件放到软件安装路径的win64文件夹下:
在这里插入图片描述
在这里插入图片描述
然后在win64文件夹下找到mgls64.dll,单击右键进入属性界面,取消它的只读属性:
在这里插入图片描述
然后单击刚才复制过来的patch_dll.bat:
在这里插入图片描述
稍等一下会弹出来一个一个LICENSE.TXT文本,另存到安装路径的win64文件夹下:

在这里插入图片描述
然后恢复mgls64.dll的只读属性。接下来还有一步:右击“此电脑”,单击“属性”,进入电脑设置界面,点击“高级系统设置”:

在这里插入图片描述
点击环境变量,进入设置环境变量:
在这里插入图片描述
变量是LM_LICENSE_FILE,不要写错,右边的值是LICENSE.TXT所在的文件路径。
在这里插入图片描述
点击确定之后解压就完成啦,可以使用了!

三、初步使用

1.Vivado的简单介绍

我安装之后,桌面上以下多了四个图标,行吧,但我第一下点开的是这个Vivado 2018.3,也就是“主软件”:
在这里插入图片描述
①就说说如何创建工程吧:
在这里插入图片描述
工程命名就看自己想怎么命名吧,最好具有一定识别度。工程路径是指定本次工程存放在电脑磁盘中的位置,这个可以自行选择,需要说明的是,工程路径不能包含中文、空格或者其它一些特殊的符号,否则工程会创建失败。
在这里插入图片描述
由于默认勾选了“Create project subdirectory”选项, Vivado 会在所选工程目录下自动创建一个与工程名同名的文件夹,用于存放工程内的各种文件。并且 Vivado 会自动管理工程文件夹内的各种工程文件,并创建相应的子目录。

如果你是大佬的话······Emmmm应该不可能,大佬也不用看这个。新手的话,勾选第一个就好。
在这里插入图片描述
选择了“RTL Project”后,点击“Next”,进入添加源文件页面。注意,如果勾选上图 中“RTL Project”下的“Do not specify sources at this time”,则不会出现添加源文件的界面。在弹出添加源文件的界面后,可以在此处创建/添加源文件,当然也可以直接点击“Next”,创建完工程后再创建/添加源文件。这里直接点击“Next”:
在这里插入图片描述
接下来是添加约束文件,也可以直接点击“Next”,创建完工程后再创建/添加约束文件:
在这里插入图片描述
接下来选择开发板的芯片型号,可以直接在搜素框中输入完整的芯片型号,根据自己使用的来选择(其实上面两步可以直接勾选上“RTL Project”下的“Do not specify sources at this time”而跳过):
在这里插入图片描述
最后点击Finish就可以啦:
在这里插入图片描述
②创建新工程说完了,介绍一下界面吧:
在这里插入图片描述
(1) Flow Navigator。 Flow Navigator 提供对命令和工具的访问,其包含从设计输入到生成比特流的整个过程。 在点击了相应的命令时,整个 Vivado 工程主界面的各个子窗口可能会作出相应的更改。
(2)数据窗口区域。默认情况下, Vivado IDE 的这个区域显示的是设计源文件和数据相关的信息。
• Sources 窗口: 显示层次结构(Hierarchy)、 IP 源文件(IP Sources)、库(Libraries)和编译顺序(Compile Order)的视图。
• Netlist 窗口: 提供分析后的(elaborated)或综合后的(synthesized)逻辑设计的分层视图。
(3) Properties 窗口: 显示有关所选逻辑对象或器件资源的特性信息。
(4)工作空间(Workspace): 工作区显示了具有图形界面的窗口和需要更多屏幕空间的窗口,包括:
• Project Summary。提供了当前工程的摘要信息,它在运行设计命令时动态地更新。
• 用于显示和编辑基于文本的文件和报告的 Text Editor。
• 原理图(Schematic)窗口。
• 器件(Device)窗口。
• 封装(Package)窗口。
(5)结果窗口区域:在 Vivado IDE 中所运行的命令的状态和结果,显示在结果窗口区域中,这是一组子窗口的集合。在运行命令、生成消息、创建日志文件和报告文件时,相关信息将显示在此区域。默认情况下,此区域包括以下窗口:
• Tcl Console: 允许您输入 Tcl 命令,并查看以前的命令和输出的历史记录。
• Messages: 显示当前设计的所有消息,按进程和严重性分类,包括“Error”、“CriticalWarning”、“Warning”等等
• Log: 显示由综合、实现和仿真 run 创建的日志文件。
• Reports: 提供对整个设计流程中的活动 run 所生成的报告的快速访问。
• Designs Runs: 管理当前工程的 runs。
(6)主工具栏: 主工具栏提供了对 Vivado IDE 中最常用命令的单击访问。
(7)主菜单: 主菜单栏提供对 Vivado IDE 命令的访问。
(8)窗口布局(Layout)选择器: Vivado IDE 提供预定义的窗口布局,以方便设计过程中的各种任务。布局选择器使您能够轻松地更改窗口布局。或者,可以使用菜单栏中的“Layout”菜单来更改窗口布局。

2.ModelSim的简单介绍

①ModelSim 的使用主要分为两种情况,第一种就是直接使用ModelSim 软件进行仿真,也就是手动仿真;第二种情况就是通过其它的 EDA 工具如 Vivado 调用 Modelsim进行仿真,这种情况也就是我们通常所说的自动仿真或者联合仿真。不管是手动仿真还是自动仿真,它们都遵循以下 5 个步骤:
(1) 新建工程
(2) 编写 Verilog 文件和 Testbench 仿真文件
(3) 编译工程
(4) 启动仿真器并加载设计顶层
(5) 执行仿真。

②手动仿真
ModelSim是绝对地址的工作机制,所以当把别的文件拷贝过来使用时,需要先改变地址,改变到自己新创建的文件夹下:
在这里插入图片描述
然后就是创建工程。一般都是先写好代码之后放进来进行仿真
在这里插入图片描述
在“Project Name”栏中填写工程名,这里的命名方式,最好根据仿真的文件来进行命名;“Project Location”是工程路径,可以根据需要把工程保存到不同的位置。因为前一步骤更改目录的时候已经做了选择,所以这里保持默认即可:
在这里插入图片描述
前面说了,先写添加:
在这里插入图片描述
在这里插入图片描述
然后就是建立TestBench文件。我目前呢都是用现成的,所以照样是加入已有文件。加入之后就进行编译:
在这里插入图片描述
因为这个截图吧,文件栏出来之后按下Ctrl、Alt之后就消失了,所以就用的别处的!
编译之后如果没有问题的话,Statue就会变成√,否则的话就要检查以下代码对不对,双击这个文件代码就出来了:
在这里插入图片描述
之后右键单击Simulation会出现下拉框,点击Start Simulation,就会出现这个一个框,点击自己编写的仿真文件,并且要在 Optimization 一栏中取消勾选(注意一定要取消优化的勾选,否则无法观察信号波形),即不使能优化:
在这里插入图片描述
右键单击自己的例化文件,会出现一个下拉框,然后单击Add wave:
在这里插入图片描述
然后设置好运行时间后就点击旁边的按钮,进行仿真:
在这里插入图片描述
在这里插入图片描述
大致就是这样啦!

总结

这是第一次写一篇博客,参考了很多网上的内容,有什么不对,还望大家指正!
这次呢,主要是关于这两个软件,其实FPGA牵扯的东西挺广的,后面慢慢学习做项目的时候,会一点一点的补上,关于Vivado和ModelSim的细节后面也会慢慢补充。

Vivado 2019 是一款由Xilinx公司开发的综合工具,用于设计和验证FPGA(可编程逻辑门阵列)和片上系统。ModelSim是一款由Mentor Graphics公司开发的仿真工具,用于验证、调试和优化数字硬件设计。 Vivado 2019和ModelSim可以结合使用进行联合仿真,以更全面地验证设计的正确性和功能性。这种联合仿真的流程可以分为以下几个步骤: 首先,使用Vivado 2019进行设计和综合。可以通过Vivado提供的图形界面或者HDL语言(如VHDL或Verilog)来描述设计。Vivado会将设计转换为逻辑门级的表示形式,利用现场可编程门阵列(FPGA)的资源。 其次,根据Vivado生成的逻辑网表文件,可以使用ModelSim进行仿真。通过将逻辑网表文件载入到ModelSim中,可以在仿真环境中对设计进行验证。ModelSim提供了强大的仿真功能,包括信号波形显示、时钟域分析、断点设置和调试功能等。 在联合仿真过程中,可以通过在ModelSim中创建测试程序来激励设计。测试程序可以生成各种输入信号,并监测输出信号以进行验证。通过观察信号波形和仿真结果,可以判断设计是否满足预期的功能要求。 此外,ModelSim还提供了丰富的调试功能,可以帮助分析和解决设计中的问题。通过设置断点、单步执行和观察变量值等操作,可以逐步调试设计并定位错误。 最后,通过不断的迭代和修改设计,可以通过联合仿真验证设计的正确性和性能。一旦设计通过了联合仿真,并满足设计要求,就可以继续进行后续的设计流程,如布局布线和生成比特流文件等。 总的来说,Vivado 2019和ModelSim联合仿真为硬件设计人员提供了一个全面验证和调试设计的工具链。通过这一工具链的使用,可以更加准确地评估和优化设计,提高设计的可靠性和性能。
评论 12
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值