Vivado与Modelsim联合仿真配置【图文并茂】


前言

在开始配置之前,请先安装好Vivado和Modelsim,我使用的是Vivado2018.2和Modelsim-SE10.7,之所以选择这个版本是因为购买的工具书籍使用该版本,我安装的目录是默认目录,即Vivado是C:\Xilinx,Modelsim是C:\modeltech64_10.7。


一、编译仿真库

1.编译仿真库

打开Vivado软件,单击Tools-Compile Simulation Libraries 编译仿真库。
在这里插入图片描述

2.仿真库设置

如下图所示,其中红色方框内是编译库的目录,后续生成的仿真库会保存至该文件夹中,点击Compile,等待Vivado编译完成。
在这里插入图片描述

二、Vivado设置

1.在Vivado中单击Tools-Settings

在这里插入图片描述
在设置中的第三方仿真(3rd Party Simulatorsÿ

  • 4
    点赞
  • 60
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值