(DDS)正弦波形发生器——幅值、频率、相位可调(二)

(DDS)正弦波形发生器——幅值、频率、相位可调(二)

主要关于调相方面

一、项目任务:

  • 设计一个幅值、频率、相位均可调的正弦波发生器。
    • 频率每次增加10kHz
    • 相位每次增加 PI/2
    • 幅值每次增加两倍
    • ROM的深度为1024、宽度为8

二、文章内容:

  1. 完成调相模块并验证功能
  2. 完成调幅模块
  3. 按结构图来连接各个模块并仿真验证

1、调相

  • 从图像上来理解调整相位就是原函数在X轴上进行水平移动。

  • 在本项目中函数的波形图按照顺序存在ROM中,我们只要按照比例调整读取ROM的地址,即可调相。

  • 前文中我们使用32位寄存器的高8位用做ROM的地址,因此只要有按键按下,我们按照相位同比例的调整读取ROM的地址即可。

  • ROM的深度为1024、宽度为8储存标准正弦函数,那么可知其式为:
    f ( x ) = 128 sin ⁡ ( x 512 π ) + 128 % MathType!MTEF!2!1!+- % feaahqart1ev3aaatCvAUfeBSjuyZL2yd9gzLbvyNv2CaerbuLwBLn % hiov2DGi1BTfMBaeXatLxBI9gBaerbd9wDYLwzYbItLDharqqtubsr % 4rNCHbWexLMBbXgBd9gzLbvyNv2CaeHbl7mZLdGeaGqiVu0Je9sqqr % pepC0xbbL8F4rqqrFfpeea0xe9Lq-Jc9vqaqpepm0xbba9pwe9Q8fs % 0-yqaqpepae9pg0FirpepeKkFr0xfr-xfr-xb9adbaqaaeGaciGaai % aabeqaamaabaabauaakeaacaqGMbGaaiikaiaadIhacaGGPaGaeyyp % a0JaaGymaiaaikdacaaI4aGaci4CaiaacMgacaGGUbGaaiikamaala % aabaGaamiEaaqaaiaaiwdacaaIXaGaaGOmaaaacqaHapaCcaGGPaaa % aa!4ECD! {\rm{f}}(x) = 128\sin (\frac{x}{{512}}\pi )+128 f(x)=128sin(512xπ)+128
    这里x对应的是ROM地址、y对应的是ROM中数据也即幅值。范围取x从0到1023、y从0到255。

  • 若按键一次,相位增加PI/2,则有:
    g ( x ) = 128 sin ⁡ ( x 512 π + π 2 ) + 128                    = 128 sin ⁡ ( ( x 512 + 1 2 ) π ) + 128                    = 128 sin ⁡ ( ( x + 256 512 ) π ) + 128 % MathType!MTEF!2!1!+- % feaahqart1ev3aaatCvAUfeBSjuyZL2yd9gzLbvyNv2CaerbuLwBLn % hiov2DGi1BTfMBaeXatLxBI9gBaerbd9wDYLwzYbItLDharqqtubsr % 4rNCHbWexLMBbXgBd9gzLbvyNv2CaeHbl7mZLdGeaGqiVu0Je9sqqr % pepC0xbbL8F4rqqrFfpeea0xe9Lq-Jc9vqaqpepm0xbba9pwe9Q8fs % 0-yqaqpepae9pg0FirpepeKkFr0xfr-xfr-xb9adbaqaaeGaciGaai % aabeqaamaabaabauaakqaabeqaaiaadEgacaGGOaGaamiEaiaacMca % cqGH9aqpcaaIXaGaaGOmaiaaiIdaciGGZbGaaiyAaiaac6gacaGGOa % WaaSaaaeaacaWG4baabaGaaGynaiaaigdacaaIYaaaaiabec8aWjab % gUcaRmaalaaabaGaeqiWdahabaGaaGOmaaaacaGGPaGaey4kaSIaaG % ymaiaaikdacaaI4aaabaGaaGjbVlaaysW7caaMe8UaaGjbVlaaysW7 % caaMe8UaaGjbVlaaysW7caaMe8Uaeyypa0JaaGymaiaaikdacaaI4a % Gaci4CaiaacMgacaGGUbGaaiikaiaacIcadaWcaaqaaiaadIhaaeaa % caaI1aGaaGymaiaaikdaaaGaey4kaSYaaSaaaeaacaaIXaaabaGaaG % OmaaaacaGGPaGaeqiWdaNaaiykaiabgUcaRiaaigdacaaIYaGaaGio % aaqaaiaaysW7caaMe8UaaGjbVlaaysW7caaMe8UaaGjbVlaaysW7ca % aMe8UaaGjbVlabg2da9iaaigdacaaIYaGaaGioaiGacohacaGGPbGa % aiOBaiaacIcacaGGOaWaaSaaaeaacaWG4bGaey4kaSIaaGOmaiaaiw % dacaaI2aaabaGaaGynaiaaigdacaaIYaaaaiaacMcacqaHapaCcaGG % PaGaey4kaSIaaGymaiaaikdacaaI4aaaaaa!9896! \begin{array}{l} g(x) = 128\sin (\frac{x}{{512}}\pi + \frac{\pi }{2}) + 128\\ \;\;\;\;\;\;\;\;\; = 128\sin ((\frac{x}{{512}} + \frac{1}{2})\pi ) + 128\\ \;\;\;\;\;\;\;\;\; = 128\sin ((\frac{{x + 256}}{{512}})\pi ) + 128 \end{array} g(x)=128sin(512xπ+2π)+128=128sin((512x+21)π)+128=128sin((512x+256)π)+128

  • 在这里插入图片描述

    其中绿色为f(x),紫色为调整相位后的g(x)。

  • 即每次按下按键后相当于将图像向左平移256,那么也就是按下按键后,提前读取256个地址之后的数据。

  • module phase_ctrl(
        input  clk,
        input  rst_n,
        input  f_phase,
    
        output  [9:0] initiala_address
    );
    assign initiala_address = 10'd256;
    endmodule
    
    
    
    module addr_ctrl(
        input  clk,
        input  rst_n,
        input  [31:0] freq_num,
        input  [9:0] initiala_address,
        input  f_phase,
    
        output [9:0] address
    );
    
    reg [31:0] cnt;
    
    always @(posedge clk,negedge rst_n)
    begin
        if(rst_n == 0)
            cnt <= 32'd0;
        else 
            if(f_phase == 1)
                cnt[31:22] <= cnt[31:22] + initiala_address;
            else
                cnt <= cnt + freq_num;
    end 
    assign address = cnt[31:22];
    endmodule
    
  • 验证:选取三个变频前后的点将其与理论计算的值进行对比

    重点关注在f_phase拉高前后,正弦波上3个点**(address,data)**的大小

    • 在这里插入图片描述

      (43,161) (43+256,251)=(299,251)

    • 在这里插入图片描述

      (754,0) (754+256)=(1010,117)

    • 在这里插入图片描述

      (856,18) (856+256-1024,193)=(88,193)

  • 理论计算:
    • 在这里插入图片描述

      (43,161)(43,251) (754,0)(754,117) (856,18) (856,193)

    • 可以看到调相模块准确的完成了每次按键按下,相移PI/2的任务。

2、调幅

  • 这一部分在代码方面比较简单,实际验证还需要数模转换和示波器的配合,限于条件无法达到,因此只展仿真结果。

  • 在程序上要注意位宽的变化,由于不断的放大,因此将输出data设计为32位。

  • 每次按键按下。幅值放大两倍。

  • module amplitude_ctrl(
        input  clk,
        input  rst_n,
        input  f_ampli,
        input  [7:0] data_in,
    
        output [31:0] data
    );
    
    reg [23:0] ampli_num;
    
    always @(posedge clk,negedge rst_n)
    begin
        if(rst_n == 0)
            ampli_num <= 24'd1;
        else
            if(f_ampli == 1)
                ampli_num <= ampli_num * 24'd2;
            else 
                ampli_num <= ampli_num;
    end 
    
    assign data = ampli_num * data_in;
    
    endmodule
    
  • 在这里插入图片描述

3、模块连接及总体功能验证

  • 系统结构图为:

    在这里插入图片描述

  • 在这里插入图片描述

  • ModelSim仿真:

    • 频率变化:

      • 在这里插入图片描述

      • 在这里插入图片描述

    • 相位变化:

      • 在这里插入图片描述
    • 幅值变化:

      • 在这里插入图片描述
  • 测试代码:

    `timescale 1ns/1ns
    module key_dds_tb();
    
        reg  clk;
        reg  rst_n;
        reg  key_freq;
        reg  key_phase;
        reg  key_ampli;
    
        wire [31:0] data;
    
    
     key_dds key_dds_inst(
        .clk      (clk),
        .rst_n    (rst_n),
        .key_freq (key_freq),
        .key_phase(key_phase),
        .key_ampli(key_ampli),
    
        .data     (data)
    );
    
    
    initial clk = 1;
    always #10 clk = !clk;
    
    
    initial
    begin
        rst_n = 0;
        key_freq   = 1;
        key_phase  = 1;
        key_ampli  = 1;
        #200
    
        rst_n = 1;
        #200
        
    
        key_freq = 1;
        #10000000
        key_freq = 0;
        #2000
        key_freq = 1;
        #10000000
        key_freq = 0;
        #1000000
    
        key_freq = 1;
        #10000000
    
    
    
    
        key_phase = 1;
        #2000000
        key_phase = 0;
        #70000
        key_phase = 1;
        #11500
        key_phase = 0;
        #70000
        key_phase = 1;
        #25000
        key_phase = 0;
        #23333
    
    
        key_phase = 1;
        #1000000
    
    
    
        key_ampli = 1;
        #10000000
        key_ampli = 0;
        #2000
        key_ampli = 1;
        #1000000
    
    
        #10000
        $stop;
        end
    
    endmodule
    

备注:

  • 之后有时间我想试着在屏幕上输出DDS产生的波形,看看效果。
  • 按键部分的功能是进行消陡然后输出一个同系统时钟的标志信号flag。

作者:13tree

出处:https://blog.csdn.net/weixin_41890387

本文版权归作者所有,如需转载请保留此段声明。

  • 7
    点赞
  • 64
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
目 录 1 设计要求 1 1.1 题目要求及分析 1 1.1.1 示意图 1 1.2 设计要求 1 2 波形发生器系统设计方案 2 2.1 方案的设计思路 2 2.2 设计框图及系统介绍 2 2.3 选择合适的设计方案 2 3 主要硬件电路及器件介绍 4 3.1 80C51单片机 4 3.2 DAC0832 5 3.3 数码显示管 6 4 系统的硬件设计 8 4.1 硬件原理框图 8 4.2 89C51系统设计 8 4.3 时钟电路 9 4.4 复位电路 9 4.5 键盘接口电路 10 4.6 数模转换器 11 5 系统软件设计 12 5.1 流程图: 12 5.2 产生波形图 12 5.2.1 正弦波 12 5.2.2 三角波 13 5.2.3 方波 14 6 结论 16 主要参考文献 17 1 设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组 合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调频率步进间隔 100Hz,非正弦波 的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。 2 波形发生器系统设计方案 设计并制作一个波形信号发生器,能够产生正弦波、方波、三角波的波形,其中不使 用DDS和一些专用的波形产生芯片。并让系统的频率范围在1Hz~1MHZ可调节,在频率范 围在1HZ~10KHz时,步进小于或等于10Hz,在频率范围在10KHz~1MHz时,步进小于或等 于100Hz,并且电压在0~5V范围,能够实时的显示波形的类型、频率幅值。 2.1 方案的设计思路 以AT89C51单片机作为系统的控制核心,其中P0口接DAC0832作为信号输入同时进行数 模转换,P1口用来接键盘,P2口接LED显示器,由程序来控制P0口产生的波形,再由按键 和按键次数控制波形的种类、频率幅值的大小,并且能够通过按键来控制波形频率值 和幅度值。由运算放大器DAC0832来实现输出电流到电压的转换,即实现数字信号到模拟 信号的转换。另外在LED上显示实时的频率值和幅度值,产生的波形在示波器显示。并且 通过按键来决定波形数据的存储方式,在按键按下后,就把当时的波形所对应的幅度值 、频率值等参数存储下来。 波形的合成:在波形的合成时,通过外部中断高低电平的延时的时间来进行解码,在 采样的时,对正弦波,三角波,方波都只取了20个采样点,因为采样点越少,其频率能 够达到的值就会越大,合成时就是对幅值点进行一个叠加来输出一组波形。 2.2 设计框图及系统介绍 系统主要部分设计框图如下图所示: 图2:框图设计 2.3 选择合适的设计方案 方案一:采用模拟分立元件或单片函数发生器就可以产生正弦波、方波、三角波,方 法简单。通过调整外部元件也可以实现输出频率的改变,但采用模拟元器件造成元件分 散性太大,从而产生的频率稳定性较差、抗干扰能力低、灵活性较差、而且精度低,不 能实现任意波形转换和波形运算输出等方面自主控制功能。 方案:采用专业的锁相式频率合成方案,这种锁相式频率合成是一种高稳定度和高 精确度的大量离散技术,他能够准确的产生波形,可以很好的解决频率稳定精确的情况 下又要求频率在较大的范围内可调的问题。但是频率受VCO可变频率范围上的影响,高低 频率比无法做到很高,还有其只能产生正弦波或方波,不能满足产生三角波的要求。 方案三:采用AT89C51单片机和数模转换器DAC0832实现波形的产生。波形的产生方法 是用AT89C51单片机执行波形程序,向DAC0832转换器的输入端输入相应的数据,从而在 DA转换电路输出端再通过运放电路转换得到相应的电压波形。在AT89C51的P1口接按键控 制波形的种类和波形的频率,每种波形对应一种按键方式。此方案原理简单,同时适合 操作,实现起来也相对较容易。 经比较,方案三的设计能够更好的实现和完成题目的要求,产生的三种波形和频率可 由按键控制,并通过按键改变来转换不同的波形,也能够在示波器上显示出所要求的波 形。波形的频率步进也可以实现调节,具有线路简单、可行性高、符合设计要求等优点 。在本设计的基础上,加上LED数码显示管,从而能够在LED上显示出频率值、幅度值信 息。输出的波形也较稳定,精度较高,通过滤波电路使得系统的抗干扰性增强,电路简 单,性价比高。综上所述,我们选择了第三种方案。 3 主要硬件电路及器件介绍 3.1 80C51单片机 图3:8051引脚图 80C51单片机按功能划分,由8个部件组成:微处理器(CPU)、数据存储器(RAM)、 程序存储器(ROM

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值