【无标题】

FPGA含负数运算问题

源代码如下

reg add_dec=1’b1;
reg [6:0] cnt0=7’d0;
always@(posedge clk)begin
if(enable)begin
if(cnt0==7’d6)begin
if(ex_SpdRef[14]==1’b0)begin
if(ex_SpdRef_r<ex_SpdRef && add_dec)begin
ex_SpdRef_r <= ex_SpdRef_r + 1’b1;
end
else if(ex_SpdRef_r>ex_SpdRef && add_dec) begin
ex_SpdRef_r <= ex_SpdRef_r - 1’b1;
end
else begin
ex_SpdRef_r <= ex_SpdRef_r;
end
end
else if(ex_SpdRef[14]==1’b1)begin
if(ex_SpdRef_r>ex_SpdRef && add_dec)begin
ex_SpdRef_r <= ex_SpdRef_r - 1’b1;
end
else if(ex_SpdRef_r<ex_SpdRef && add_dec) begin
ex_SpdRef_r <= ex_SpdRef_r + 1’b1;
end
else begin
ex_SpdRef_r <= ex_SpdRef_r;
end
end
end
else begin
ex_SpdRef_r <= ex_SpdRef_r;
end
end
else begin
ex_SpdRef_r <= 15’d0;
end

end

always @(posedge clk)begin
if(ex_SpdRef[14])begin
ex_SpdRef_reg <= ~ex_SpdRef_r+1’b1 ;
end
else begin
ex_SpdRef_reg <= ex_SpdRef_r ;
end
end
always@(posedge clk)begin
if(ex_SpdRef_reg == ex_SpdRef)begin
add_dec <= 1’b0;
end
else begin
add_dec <= 1’b1;
end

end

modelsim仿真结果如下

目标值ex_spdref_reg =500;
ex_spdref_reg=15'd500
目标值ex_spdref_reg = -500;
ex_spdref_reg=-15'd500

小结

Verilog是以补码进行运算,遇到负数时需要注意必坑。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值