verilog FSM为什么要使用one hot去编码状态

verilog FSM为什么要使用one hot去编码状态

假设我们有四个状态A B C D:
编码规则1:

  • A = 2’b00
  • B = 2’b01
  • C = 2’b10
  • D = 2’b11

编码规则2:

  • A = 4’b0001
  • B = 4’b0010
  • C = 4’b0100
  • D = 4’b1000

假设存在如下状态转移关系在这里插入图片描述

编码规则1

针对编码规则1,我们可以这样描述其状态转移关系:

module top_module(
    input in,
    input [1:0] state,
    output [1:0] next_state,
    output out); 

    parameter A = 2'b00;
    parameter B = 2'b01;
    parameter C = 2'b10;
    parameter D = 2'b11;
    
	assign next_state[A] = (~state[0] & ~state[1] & ~in) | ( ~state[0] & state[1] & ~in); //状态为A(~state[0] & ~state[1])且in为0 | 状态为C(~state[0] & state[1])且in为0

endmodule

编码规则2

module top_module(
    input in,
    input [3:0] state,
    output [3:0] next_state,
    output out); 
    
    parameter A = 4'b0001;
    parameter B = 4'b0010;
    parameter C = 4'b0100;
    parameter D = 4'b1000;

	assign next_state[A] = state[0]&(~in) | state[2]&(~in); // 状态为A(state[0])且in为0 | 状态为C(state[2])且in为0
    
endmodule

明显编码规则2的状态转移逻辑要比编码规则1的状态转移逻辑要简单的多。

总结

总结以下:使用one hot编码会增加会增加一小部分寄存器的位数,但是却大大简化了判断状态转移时候的逻辑。所以在写verilog FSM的时候尽量使用one hot去编码我们的状态。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog FSM(Finite State Machine)是用Verilog语言编写的有限状态机。通过组合逻辑和时序逻辑的描述来实现对具有逻辑顺序事件的控制。在Verilog中,FSM的编写可以遵循一定的规范和推荐写法。 在编写Verilog FSM时,通常会使用always块来描述时钟上升沿或复位信号的触发条件。在这个always块中,可以使用if-else语句来处理复位信号,并根据当前状态和输入信号进行状态转移。 另外,为了使代码结构规范清晰,通常会使用三段式状态机的写法。第一个always块用来描述状态转移的触发条件,第二个always块用来描述下一状态的判断,第三个always块用来描述各状态的输出。这样的写法可以将组合逻辑和时序逻辑分开,易于综合。 在Verilog中,状态编码可以使用二进制、格雷码或独热码。二进制编码简便,适用于小型设计。格雷码需要状态顺序跳变才能利用其特性,而独热码则常用于状态机设计中,因为它的译码简单,节省组合逻辑,并且时序更快,还能减少毛刺产生的概率。 下面是一个示例的Verilog FSM代码,其中使用了独热码编码状态状态转移的逻辑: ```verilog localparam S0 = 4'b0001; localparam S1 = 4'b0010; localparam S2 = 4'b0100; localparam S3 = 4'b1000; reg [3:0 current_state; reg [3:0 next_state; // 状态转移 always @(posedge clk or negedge rst_n) begin if(!rst_n) current_state <= S0; else current_state <= next_state; end // 下一状态判断 always @ (*) begin case(current_state) S0 : next_state = S1; S1 : next_state = S2; S2 : next_state = S3; S3 : next_state = S0; default: next_state = S0; endcase end // 状态输出 always @(posedge clk or negedge rst_n) begin if(!rst_n) begin // reset condition end else begin case(current_state) S0 : begin // state S0 output end S1 : begin // state S1 output end S2 : begin // state S2 output end S3 : begin // state S3 output end default : ; endcase end end ``` 这个示例代码展示了一个简单的Verilog FSM使用独热码编码了四个状态,并根据时钟信号和复位信号实现了状态转移和状态输出逻辑。你可以根据具体的需求修改状态状态转移的逻辑,以及每个状态的输出逻辑。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [verilog FSM 范例](https://download.csdn.net/download/u013560111/6884151)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【VerilogFSM设计](https://blog.csdn.net/m0_52840978/article/details/123390136)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值