linux 2.6.32 sdxc 补丁,AR# 73079: 2019.2 Zynq UltraScale+ MPSoC: PetaLinux ZCU106 BSP fails to detect ...

Xilinx Zynq MP First Stage Boot Loader

Release 2019.2   Oct 25 2019  -  09:07:59

NOTICE:  ATF running on XCZU7EV/silicon v4/RTL5.1 at 0xfffea000

NOTICE:  BL31: Secure code at 0x0

NOTICE:  BL31: Non secure code at 0x10080000

NOTICE:  BL31: v2.0(release):xilinx-v2019.1-12-g713dace9

NOTICE:  BL31: Built : 09:18:48, Oct 25 2019

PMUFW:  v1.1

U-Boot 2019.01 (Oct 25 2019 - 09:04:33 +0000)

Model: ZynqMP ZCU106 RevA

Board: Xilinx ZynqMP

DRAM:  4 GiB

EL Level:       EL2

Chip ID:        zu7ev

MMC:   mmc@ff170000: 0

Loading Environment from SPI Flash... SF: Detected n25q512a with page size 512 Bytes, erase size 128 KiB, total 128 MiB

OK

In:    serial@ff000000

Out:   serial@ff000000

Err:   serial@ff000000

Model: ZynqMP ZCU106 RevA

Board: Xilinx ZynqMP

Net:   ZYNQ GEM: ff0e0000, phyaddr c, interface rgmii-id

eth0: ethernet@ff0e0000

Hit any key to stop autoboot:  0

Device: mmc@ff170000

Manufacturer ID: 3

OEM: 5344

Name: SB16G

Bus Speed: 50000000

Mode : SD High Speed (50MHz)

Rd Block Len: 512

SD version 3.0

High Capacity: Yes

Capacity: 14.8 GiB

Bus Width: 4-bit

Erase Group Size: 512 Bytes

111705444 bytes read in 7189 ms (14.8 MiB/s)

## Loading kernel from FIT Image at 10000000 ...

Using 'conf@system-top.dtb' configuration

Trying 'kernel@1' kernel subimage

Description:  Linux kernel

Type:         Kernel Image

Compression:  uncompressed

Data Start:   0x10000108

Data Size:    18082304 Bytes = 17.2 MiB

Architecture: AArch64

OS:           Linux

Load Address: 0x00080000

Entry Point:  0x00080000

Hash algo:    sha1

Hash value:   7a218e7055ee60902c0d0699d6bba7eacae3c158

Verifying Hash Integrity ... sha1+ OK

## Loading ramdisk from FIT Image at 10000000 ...

Using 'conf@system-top.dtb' configuration

Trying 'ramdisk@1' ramdisk subimage

Description:  petalinux-user-image

Type:         RAMDisk Image

Compression:  gzip compressed

Data Start:   0x11149ee8

Data Size:    93575401 Bytes = 89.2 MiB

Architecture: AArch64

OS:           Linux

Load Address: unavailable

Entry Point:  unavailable

Hash algo:    sha1

Hash value:   89174846ed278d1ecc5d9cf7ac7d3dc1b177434b

Verifying Hash Integrity ... sha1+ OK

## Loading fdt from FIT Image at 10000000 ...

Using 'conf@system-top.dtb' configuration

Trying 'fdt@system-top.dtb' fdt subimage

Description:  Flattened Device Tree blob

Type:         Flat Device Tree

Compression:  uncompressed

Data Start:   0x1113ec0c

Data Size:    45591 Bytes = 44.5 KiB

Architecture: AArch64

Hash algo:    sha1

Hash value:   2b18d0b4df1cf85fe84552996abf6ad2873f9d3a

Verifying Hash Integrity ... sha1+ OK

Booting using the fdt blob at 0x1113ec0c

Loading Kernel Image ... OK

Loading Ramdisk to 026c2000, end 07fff8e9 ... OK

Loading Device Tree to 00000000026b3000, end 00000000026c1216 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]

[    0.000000] Linux version 4.19.0-xilinx-v2019.2 (oe-user@oe-host) (gcc version 8.2.0 (GCC)) #1 SMP Fri Oct 25 09:03:24 UTC 2019

[    0.000000] Machine model: ZynqMP ZCU106 RevA

[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')

[    0.000000] bootconsole [cdns0] enabled

[    0.000000] efi: Getting EFI parameters from FDT:

[    0.000000] efi: UEFI not found.

[    0.000000] cma: Reserved 1700 MiB at 0x0000000015800000

[    0.000000] psci: probing for conduit method from DT.

[    0.000000] psci: PSCIv1.1 detected in firmware.

[    0.000000] psci: Using standard PSCI v0.2 function IDs

[    0.000000] psci: MIGRATE_INFO_TYPE not supported.

[    0.000000] psci: SMC Calling Convention v1.1

[    0.000000] random: get_random_bytes called from start_kernel+0x94/0x3f8 with crng_init=0

[    0.000000] percpu: Embedded 23 pages/cpu @(____ptrval____) s53656 r8192 d32360 u94208

[    0.000000] Detected VIPT I-cache on CPU0

[    0.000000] CPU features: enabling workaround for ARM erratum 845719

[    0.000000] Speculative Store Bypass Disable mitigation not required

[    0.000000] CPU features: detected: Kernel page table isolation (KPTI)

[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1033987

[    0.000000] Kernel command line: console=ttyPS0,115200n8 earlycon clk_ignore_unused cma=1700M

[    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)

[    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)

[    0.000000] software IO TLB: mapped [mem 0x11800000-0x15800000] (64MB)

[    0.000000] Memory: 2212676K/4193280K available (10748K kernel code, 634K rwdata, 5424K rodata, 832K init, 314K bss, 239804K reserved, 1740800K cma-reserved)

[    0.000000] rcu: Hierarchical RCU implementation.

[    0.000000] rcu:     RCU event tracing is enabled.

[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.

[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4

[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0

[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000

[    0.000000] GIC: Using split EOI/Deactivate mode

[    0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (phys).

[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x170f8de2d3, max_idle_ns: 440795206112 ns

[    0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 4398046511101ns

[    0.008238] Console: colour dummy device 80x25

[    0.012392] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.98 BogoMIPS (lpj=399960)

[    0.022756] pid_max: default: 32768 minimum: 301

[    0.027447] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes)

[    0.034013] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes)

[    0.041792] ASID allocator initialised with 32768 entries

[    0.046508] rcu: Hierarchical SRCU implementation.

[    0.051534] EFI services will not be available.

[    0.055829] smp: Bringing up secondary CPUs ...

[    0.060485] Detected VIPT I-cache on CPU1

[    0.060512] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]

[    0.060815] Detected VIPT I-cache on CPU2

[    0.060834] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]

[    0.061122] Detected VIPT I-cache on CPU3

[    0.061141] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]

[    0.061184] smp: Brought up 1 node, 4 CPUs

[    0.095682] SMP: Total of 4 processors activated.

[    0.100355] CPU features: detected: 32-bit EL0 Support

[    0.106978] CPU: All CPU(s) started at EL2

[    0.109535] alternatives: patching kernel code

[    0.114788] devtmpfs: initialized

[    0.122252] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns

[    0.126920] futex hash table entries: 1024 (order: 4, 65536 bytes)

[    0.168476] xor: measuring software checksum speed

[    0.205224]    8regs     :  2375.000 MB/sec

[    0.245258]    8regs_prefetch:  2052.000 MB/sec

[    0.285280]    32regs    :  2724.000 MB/sec

[    0.325309]    32regs_prefetch:  2308.000 MB/sec

[    0.325350] xor: using function: 32regs (2724.000 MB/sec)

[    0.329651] pinctrl core: initialized pinctrl subsystem

[    0.335436] NET: Registered protocol family 16

[    0.339505] audit: initializing netlink subsys (disabled)

[    0.344678] audit: type=2000 audit(0.296:1): state=initialized audit_enabled=0 res=1

[    0.352332] cpuidle: using governor menu

[    0.356316] vdso: 2 pages (1 code @ (____ptrval____), 1 data @ (____ptrval____))

[    0.363559] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.

[    0.370981] DMA: preallocated 256 KiB pool for atomic allocations

[    0.390771] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages

[    0.459017] raid6: int64x1  gen()   448 MB/s

[    0.527027] raid6: int64x1  xor()   449 MB/s

[    0.595129] raid6: int64x2  gen()   684 MB/s

[    0.663123] raid6: int64x2  xor()   598 MB/s

[    0.731190] raid6: int64x4  gen()   981 MB/s

[    0.799215] raid6: int64x4  xor()   736 MB/s

[    0.867243] raid6: int64x8  gen()  1165 MB/s

[    0.935301] raid6: int64x8  xor()   759 MB/s

[    1.003364] raid6: neonx1   gen()   736 MB/s

[    1.071393] raid6: neonx1   xor()   879 MB/s

[    1.139431] raid6: neonx2   gen()  1129 MB/s

[    1.207464] raid6: neonx2   xor()  1171 MB/s

[    1.275538] raid6: neonx4   gen()  1482 MB/s

[    1.343557] raid6: neonx4   xor()  1416 MB/s

[    1.411621] raid6: neonx8   gen()  1563 MB/s

[    1.479641] raid6: neonx8   xor()  1459 MB/s

[    1.479679] raid6: using algorithm neonx8 gen() 1563 MB/s

[    1.483635] raid6: .... xor() 1459 MB/s, rmw enabled

[    1.488566] raid6: using neon recovery algorithm

[    1.493946] SCSI subsystem initialized

[    1.497038] usbcore: registered new interface driver usbfs

[    1.502353] usbcore: registered new interface driver hub

[    1.507629] usbcore: registered new device driver usb

[    1.512677] media: Linux media interface: v0.10

[    1.517137] videodev: Linux video capture interface: v2.00

[    1.522587] pps_core: LinuxPPS API ver. 1 registered

[    1.527495] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti

[    1.536590] PTP clock support registered

[    1.540488] EDAC MC: Ver: 3.0.0

[    1.543978] zynqmp-ipi-mbox mailbox@ff990400: Probed ZynqMP IPI Mailbox driver.

[    1.551099] FPGA manager framework

[    1.554413] Advanced Linux Sound Architecture Driver Initialized.

[    1.560554] Bluetooth: Core ver 2.22

[    1.563843] NET: Registered protocol family 31

[    1.568242] Bluetooth: HCI device and connection manager initialized

[    1.574559] Bluetooth: HCI socket layer initialized

[    1.579402] Bluetooth: L2CAP socket layer initialized

[    1.584434] Bluetooth: SCO socket layer initialized

[    1.589550] clocksource: Switched to clocksource arch_sys_counter

[    1.595396] VFS: Disk quotas dquot_6.6.0

[    1.599252] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)

[    1.610500] NET: Registered protocol family 2

[    1.610890] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes)

[    1.618224] TCP established hash table entries: 32768 (order: 6, 262144 bytes)

[    1.625536] TCP bind hash table entries: 32768 (order: 7, 524288 bytes)

[    1.632291] TCP: Hash tables configured (established 32768 bind 32768)

[    1.638459] UDP hash table entries: 2048 (order: 4, 65536 bytes)

[    1.644439] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes)

[    1.650916] NET: Registered protocol family 1

[    1.655306] RPC: Registered named UNIX socket transport module.

[    1.660984] RPC: Registered udp transport module.

[    1.665651] RPC: Registered tcp transport module.

[    1.670323] RPC: Registered tcp NFSv4.1 backchannel transport module.

[    1.677068] Trying to unpack rootfs image as initramfs...

[    5.827687] Freeing initrd memory: 91380K

[    5.828314] hw perfevents: no interrupt-affinity property for /pmu, guessing.

[    5.833341] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available

[    5.841832] Initialise system trusted keyrings

[    5.845336] workingset: timestamp_bits=62 max_order=20 bucket_order=0

[    5.852349] NFS: Registering the id_resolver key type

[    5.856703] Key type id_resolver registered

[    5.860844] Key type id_legacy registered

[    5.864828] nfs4filelayout_init: NFSv4 File Layout Driver Registering...

[    5.871495] jffs2: version 2.2. (NAND) 2001-2006 Red Hat, Inc.

[    6.965081] NET: Registered protocol family 38

[    7.025662] Key type asymmetric registered

[    7.025700] Asymmetric key parser 'x509' registered

[    7.028994] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)

[    7.036330] io scheduler noop registered

[    7.040209] io scheduler deadline registered

[    7.044478] io scheduler cfq registered (default)

[    7.049119] io scheduler mq-deadline registered

[    7.053616] io scheduler kyber registered

[    7.059348] xilinx-frmbuf a00f0000.v_frmbuf_rd: Probe deferred due to GPIO reset defer

[    7.065513] xilinx-frmbuf a0200000.v_frmbuf_wr: Probe deferred due to GPIO reset defer

[    7.100808] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled

[    7.105204] cacheinfo: Unable to detect cache hierarchy for CPU 0

[    7.112099] brd: module loaded

[    7.115924] loop: module loaded

[    7.116833] mtdoops: mtd device (mtddev=name/number) must be supplied

[    7.121410] libphy: Fixed MDIO Bus: probed

[    7.125335] tun: Universal TUN/TAP device driver, 1.6

[    7.129313] CAN device driver interface

[    7.134076] usbcore: registered new interface driver asix

[    7.138412] usbcore: registered new interface driver ax88179_178a

[    7.144449] usbcore: registered new interface driver cdc_ether

[    7.150244] usbcore: registered new interface driver net1080

[    7.155871] usbcore: registered new interface driver cdc_subset

[    7.161750] usbcore: registered new interface driver zaurus

[    7.167294] usbcore: registered new interface driver cdc_ncm

[    7.173427] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver

[    7.179376] ehci-pci: EHCI PCI platform driver

[    7.184085] usbcore: registered new interface driver uas

[    7.189098] usbcore: registered new interface driver usb-storage

[    7.195564] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0

[    7.202278] i2c /dev entries driver

[    7.207523] usbcore: registered new interface driver uvcvideo

[    7.211384] USB Video Class driver (1.1.1)

[    7.216495] Bluetooth: HCI UART driver ver 2.3

[    7.219867] Bluetooth: HCI UART protocol H4 registered

[    7.224967] Bluetooth: HCI UART protocol BCSP registered

[    7.230262] Bluetooth: HCI UART protocol LL registered

[    7.235346] Bluetooth: HCI UART protocol ATH3K registered

[    7.240728] Bluetooth: HCI UART protocol Three-wire (H5) registered

[    7.246980] Bluetooth: HCI UART protocol Intel registered

[    7.252319] Bluetooth: HCI UART protocol QCA registered

[    7.257522] usbcore: registered new interface driver bcm203x

[    7.263142] usbcore: registered new interface driver bpa10x

[    7.268680] usbcore: registered new interface driver bfusb

[    7.274128] usbcore: registered new interface driver btusb

[    7.279551] Bluetooth: Generic Bluetooth SDIO driver ver 0.1

[    7.285218] usbcore: registered new interface driver ath3k

[    7.290753] EDAC MC: ECC not enabled

[    7.294403] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)

[    7.306986] sdhci: Secure Digital Host Controller Interface driver

[    7.312425] sdhci: Copyright(c) Pierre Ossman

[    7.316749] sdhci-pltfm: SDHCI platform and OF driver helper

[    7.322713] ledtrig-cpu: registered to indicate activity on CPUs

[    7.328388] zynqmp_firmware_probe Platform Management API v1.1

[    7.334144] zynqmp_firmware_probe Trustzone version v1.0

[    7.342197] zynqmp-pinctrl firmware:zynqmp-firmware:pinctrl: zynqmp pinctrl initialized

[    7.370166] zynqmp_clk_mux_get_parent() getparent failed for clock: lpd_wdt, ret = -22

[    7.372892] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)

[    7.377999] zynqmp_aes zynqmp_aes: AES Successfully Registered

[    7.377999]

[    7.385517] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)

[    7.391648] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)

[    7.397226] usbcore: registered new interface driver usbhid

[    7.402552] usbhid: USB HID core driver

[    7.408652] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered

[    7.413158] usbcore: registered new interface driver snd-usb-audio

[    7.419855] pktgen: Packet Generator for packet performance testing. Version: 2.75

[    7.426781] Initializing XFRM netlink socket

[    7.430734] NET: Registered protocol family 10

[    7.435413] Segment Routing with IPv6

[    7.438793] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver

[    7.444914] NET: Registered protocol family 17

[    7.449006] NET: Registered protocol family 15

[    7.453420] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.

[    7.466336] can: controller area network core (rev 20170425 abi 9)

[    7.472468] NET: Registered protocol family 29

[    7.476854] can: raw protocol (rev 20170425)

[    7.481090] can: broadcast manager protocol (rev 20170425 t)

[    7.486715] can: netlink gateway (rev 20170425) max_hops=1

[    7.492221] Bluetooth: RFCOMM TTY layer initialized

[    7.497015] Bluetooth: RFCOMM socket layer initialized

[    7.502128] Bluetooth: RFCOMM ver 1.11

[    7.505836] Bluetooth: BNEP (Ethernet Emulation) ver 1.3

[    7.511108] Bluetooth: BNEP filters: protocol multicast

[    7.516302] Bluetooth: BNEP socket layer initialized

[    7.521231] Bluetooth: HIDP (Human Interface Emulation) ver 1.2

[    7.527115] Bluetooth: HIDP socket layer initialized

[    7.532161] 9pnet: Installing 9P2000 support

[    7.536298] Key type dns_resolver registered

[    7.540911] registered taskstats version 1

[    7.544593] Loading compiled-in X.509 certificates

[    7.549688] Btrfs loaded, crc32c=crc32c-generic

[    7.560168] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 42, base_baud = 6249375) is a xuartps

[    7.569565] console [ttyPS0] enabled

[    7.569565] console [ttyPS0] enabled

[    7.573157] bootconsole [cdns0] disabled

[    7.573157] bootconsole [cdns0] disabled

[    7.581238] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 43, base_baud = 6249375) is a xuartps

[    7.594618] of-fpga-region fpga-full: FPGA Region probed

[    7.600797] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed

[    7.607386] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success

[    7.614492] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success

[    7.621600] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success

[    7.628702] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success

[    7.635804] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success

[    7.642909] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success

[    7.650015] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success

[    7.657117] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success

[    7.664289] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success

[    7.671397] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success

[    7.678493] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success

[    7.685604] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success

[    7.692707] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success

[    7.699809] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success

[    7.706913] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success

[    7.714021] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success

[    7.721125] xilinx-frmbuf a00f0000.v_frmbuf_rd: Xilinx AXI frmbuf DMA_MEM_TO_DEV

[    7.728573] xilinx-frmbuf a00f0000.v_frmbuf_rd: Xilinx AXI FrameBuffer Engine Driver Probed!!

[    7.737213] xilinx-frmbuf a0200000.v_frmbuf_wr: Xilinx AXI frmbuf DMA_DEV_TO_MEM

[    7.744663] xilinx-frmbuf a0200000.v_frmbuf_wr: Xilinx AXI FrameBuffer Engine Driver Probed!!

[    7.753526] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes

[    7.764527] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed

[    7.775234] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed

[    7.783269] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed

[    7.791878] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai xilinx-dp-snd-codec-dai mapping ok

[    7.804320] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai xilinx-dp-snd-codec-dai mapping ok

[    7.817005] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed

[    7.827200] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000

[    7.834223] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).

[    7.840828] [drm] No driver support for vblank timestamp query.

[    7.846798] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffff8008bbeb78)

[    8.933563] [drm] Cannot find any crtc or sizes

[    8.938316] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0

[    8.946417] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed

[    8.955398] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:3 protocol:2 pll_locked:yes

[    8.963280] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode

[    8.972236] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst

[    8.982706] scsi host0: ahci-ceva

[    8.986295] scsi host1: ahci-ceva

[    8.989753] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 40

[    8.997669] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 40

[    9.006640] m25p80 spi0.0: n25q512a (131072 Kbytes)

[    9.011534] 3 fixed-partitions partitions found on MTD device spi0.0

[    9.017884] Creating 3 MTD partitions on "spi0.0":

[    9.022670] 0x000000000000-0x000001e00000 : "boot"

[    9.027925] 0x000001e00000-0x000001e40000 : "bootenv"

[    9.033368] 0x000001e40000-0x000005a40000 : "kernel"

[    9.040797] macb ff0e0000.ethernet: Not enabling partial store and forward

[    9.048162] libphy: MACB_mii_bus: probed

[    9.057037] TI DP83867 ff0e0000.ethernet-ffffffff:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:0c, irq=POLL)

[    9.070085] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 30 (00:0a:35:04:e8:19)

[    9.080269] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM

[    9.086816] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM

[    9.093316] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM

[    9.099799] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM

[    9.107648] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2

[    9.113511] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes

[    9.121757] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller

[    9.127251] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1

[    9.135233] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010810

[    9.144641] xhci-hcd xhci-hcd.0.auto: irq 52, io mem 0xfe200000

[    9.150765] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 4.19

[    9.159023] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1

[    9.166241] usb usb1: Product: xHCI Host Controller

[    9.171110] usb usb1: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd

[    9.177803] usb usb1: SerialNumber: xhci-hcd.0.auto

[    9.182957] hub 1-0:1.0: USB hub found

[    9.186724] hub 1-0:1.0: 1 port detected

[    9.190831] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller

[    9.196318] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2

[    9.203976] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0  SuperSpeed

[    9.210704] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 4.19

[    9.218967] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1

[    9.226183] usb usb2: Product: xHCI Host Controller

[    9.231052] usb usb2: Manufacturer: Linux 4.19.0-xilinx-v2019.2 xhci-hcd

[    9.237744] usb usb2: SerialNumber: xhci-hcd.0.auto

[    9.242864] hub 2-0:1.0: USB hub found

[    9.246636] hub 2-0:1.0: 1 port detected

[    9.251702] pca953x 0-0020: 0-0020 supply vcc not found, using dummy regulator

[    9.258954] pca953x 0-0020: Linked as a consumer to regulator.0

[    9.265612] pca953x 0-0021: 0-0021 supply vcc not found, using dummy regulator

[    9.272846] pca953x 0-0021: Linked as a consumer to regulator.0

[    9.280149] ina2xx 3-0040: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.286932] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.293711] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.300490] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.307275] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.314066] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.320850] ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.322687] ata1: SATA link down (SStatus 0 SControl 330)

[    9.327643] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.332619] ata2: SATA link down (SStatus 0 SControl 330)

[    9.339376] ina2xx 3-004a: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.351110] ina2xx 3-004b: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.357487] i2c i2c-0: Added multiplexed i2c bus 3

[    9.362903] ina2xx 4-0040: power monitor ina226 (Rshunt = 2000 uOhm)

[    9.369687] ina2xx 4-0041: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.376467] ina2xx 4-0042: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.383250] ina2xx 4-0043: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.390038] ina2xx 4-0044: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.396822] ina2xx 4-0045: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.403601] ina2xx 4-0046: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.410390] ina2xx 4-0047: power monitor ina226 (Rshunt = 5000 uOhm)

[    9.416767] i2c i2c-0: Added multiplexed i2c bus 4

[    9.440036] random: fast init done

[    9.458940] i2c i2c-0: Added multiplexed i2c bus 5

[    9.463853] i2c i2c-0: Added multiplexed i2c bus 6

[    9.468644] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544

[    9.475973] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 32

[    9.483644] at24 7-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write

[    9.490370] i2c i2c-1: Added multiplexed i2c bus 7

[    9.495361] i2c i2c-1: Added multiplexed i2c bus 8

[    9.502231] si570 9-005d: registered, current frequency 300000000 Hz

[    9.508611] i2c i2c-1: Added multiplexed i2c bus 9

[    9.525557] si570 10-005d: registered, current frequency 148500000 Hz

[    9.532021] i2c i2c-1: Added multiplexed i2c bus 10

[    9.537095] si5324 11-0069: si5328 probed

[    9.597845] si5324 11-0069: si5328 probe successful

[    9.602748] i2c i2c-1: Added multiplexed i2c bus 11

[    9.607829] i2c i2c-1: Added multiplexed i2c bus 12

[    9.612828] i2c i2c-1: Added multiplexed i2c bus 13

[    9.617821] i2c i2c-1: Added multiplexed i2c bus 14

[    9.622695] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548

[    9.630601] i2c i2c-1: Added multiplexed i2c bus 15

[    9.635607] i2c i2c-1: Added multiplexed i2c bus 16

[    9.640605] i2c i2c-1: Added multiplexed i2c bus 17

[    9.645984] i2c i2c-1: Added multiplexed i2c bus 18

[    9.650996] i2c i2c-1: Added multiplexed i2c bus 19

[    9.656005] i2c i2c-1: Added multiplexed i2c bus 20

[    9.661010] i2c i2c-1: Added multiplexed i2c bus 21

[    9.666023] i2c i2c-1: Added multiplexed i2c bus 22

[    9.670898] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548

[    9.678490] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 33

[    9.687135] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s

[    9.694578] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s

[    9.702087] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 1199880 KHz

[    9.709538] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1199999 KHz

[    9.749555] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit

[    9.770159] input: gpio-keys as /devices/platform/gpio-keys/input/input0

[    9.777116] rtc_zynqmp ffa60000.rtc: setting system clock to 2019-11-07 19:21:56 UTC (1573154516)

[    9.785990] of_cfs_init

[    9.788441] of_cfs_init: OK

[    9.791372] cfg80211: Loading compiled-in X.509 certificates for regulatory database

[    9.868034] mmc0: Tuning failed, falling back to fixed sampling clock

[    9.875600] mmc0: new ultra high speed SDR104 SDHC card at address aaaa

[    9.882740] mmcblk0: mmc0:aaaa SB16G 14.8 GiB

[    9.932839] mmc0: Tuning failed, falling back to fixed sampling clock

[    9.935741] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'

[    9.945798] clk: Not disabling unused clocks

[    9.950064] ALSA device list:

[    9.953014]   #0: DisplayPort monitor

[    9.956941] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2

[    9.965552] cfg80211: failed to load regulatory.db

[    9.970816] Freeing unused kernel memory: 832K

[    9.989578] Run /init as init process

INIT: version 2.88 booting

[   10.038042] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.078253] [drm] Cannot find any crtc or sizes

Starting udev

[   10.095227] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.102917] print_req_error: I/O error, dev mmcblk0, sector 0

[   10.114928] udevd[2001]: starting version 3.2.5

[   10.119766] random: udevd: uninitialized urandom read (16 bytes read)

[   10.126255] random: udevd: uninitialized urandom read (16 bytes read)

[   10.132756] random: udevd: uninitialized urandom read (16 bytes read)

[   10.143958] udevd[2002]: starting eudev-3.2.5

[   10.152655] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.160348] print_req_error: I/O error, dev mmcblk0, sector 1

[   10.202351] mali: loading out-of-tree module taints kernel.

[   10.210174] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.217865] print_req_error: I/O error, dev mmcblk0, sector 2

[   10.268400] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.276136] print_req_error: I/O error, dev mmcblk0, sector 3

[   10.326186] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.333920] print_req_error: I/O error, dev mmcblk0, sector 4

[   10.383793] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.391570] print_req_error: I/O error, dev mmcblk0, sector 5

[   10.441422] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.449138] print_req_error: I/O error, dev mmcblk0, sector 6

[   10.499709] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.507459] print_req_error: I/O error, dev mmcblk0, sector 7

[   10.513231] Buffer I/O error on dev mmcblk0, logical block 0, async page read

[   10.564688] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.616289] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.639728] xilinx-vcu xilinx-vcu: Could not get core_enc clock

[   10.648297] VCU PLL: enable

[   10.651703] xilinx-vcu xilinx-vcu: xvcu_probe: Probed successfully

[   10.667819] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.675537] print_req_error: I/O error, dev mmcblk0, sector 0

[   10.688717] al5e a0100000.al5e: l2 prefetch size:17530880 (bits), l2 color bitdepth:10

[   10.690028] al5d a0120000.al5d: l2 prefetch size:17530880 (bits), l2 color bitdepth:10

[   10.725337] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.733051] print_req_error: I/O error, dev mmcblk0, sector 1

[   10.782769] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.834341] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.885959] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.938116] mmc0: Tuning failed, falling back to fixed sampling clock

[   10.989798] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.041334] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.048938] Buffer I/O error on dev mmcblk0, logical block 0, async page read

[   11.056100]  mmcblk0: unable to read partition table

[   11.106793] mmc0: Tuning failed, falling back to fixed sampling clock

Configuring packages on first boot....

(This may take several minutes. Please do not power off the machine.)

Running postinst /etc/rpm-postinsts/100-libmali-xlnx...

[   11.158409] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.164360] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0

[   11.209858] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.217897] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0

[   11.251764] Warn: update-alternatives: libmali-xlnx has multiple providers with the same priority, please check /usr/lib/opkg/alternatives/libmali-xlnx for details

[   11.261467] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.284284] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0

[   11.318047] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.337383] update-alternatives: Linking /usr/lib/libMali.so.9.0 to /usr/lib/x11/libMali.so.9.0

Running postinst /etc/rpm-postinsts/101-sysvinit-inittab...

[   11.369660] mmc0: Tuning failed, falling back to fixed sampling clock

update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing)

INIT: Entering runlevel: 5

Configuring network interfaces... [   11.421120] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.463444] pps pps0: new PPS source ptp0

[   11.467488] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.

[   11.472720] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.474194] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready

udhcpc: started, v1.29.2

[   11.525485] mmc0: Tuning failed, falling back to fixed sampling clock

udhcpc: sending discover

[   11.576890] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.629279] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.680695] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.732062] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.783349] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.834636] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.885924] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.937130] mmc0: Tuning failed, falling back to fixed sampling clock

[   11.988497] mmc0: Tuning failed, falling back to fixed sampling clock

[   12.039784] mmc0: Tuning failed, falling back to fixed sampling clock

[   12.091156] mmc0: Tuning failed, falling back to fixed sampling clock

[   12.098764] Buffer I/O error on dev mmcblk0, logical block 3889520, async page read

[   12.494202] macb ff0e0000.ethernet eth0: link up (1000/Full)

[   12.499881] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready

udhcpc: sending discover

udhcpc: sending select for 172.20.9.52

udhcpc: lease of 172.20.9.52 obtained, lease time 172800

/etc/udhcpc.d/50default: Adding DNS 172.20.20.202

/etc/udhcpc.d/50default: Adding DNS 172.20.60.202

/etc/udhcpc.d/50default: Adding DNS 172.20.60.201

done.

Starting system message bus: dbus.

Starting haveged: haveged: listening socket at 3

haveged: haveged starting up

Starting Xserver

Starting Dropbear SSH server:

Generating 2048 bit rsa key, this may take a while...

X.Org X Server 1.20.1

X Protocol Version 11, Revision 0

Build Operating System: Linux 3.10.0-693.el7.x86_64 x86_64

Current Operating System: Linux xilinx-zcu106-2019_2 4.19.0-xilinx-v2019.2 #1 SMP Fri Oct 25 09:03:24 UTC 2019 aarch64

Kernel command line: console=ttyPS0,115200n8 earlycon clk_ignore_unused cma=1700M

Build Date: 25 October 2019  09:06:39AM

Current version of pixman: 0.34.0

Before reporting problems, check http://wiki.x.org

to make sure that you have the latest version.

Markers: (--) probed, (**) from config file, (==) default setting,

(++) from command line, (!!) notice, (II) informational,

(WW) warning, (EE) error, (NI) not implemented, (??) unknown.

(==) Log file: "/var/log/Xorg.0.log", Time: Thu Nov  7 19:22:01 2019

(==) Using config file: "/etc/X11/xorg.conf"

(==) Using system config directory "/usr/share/X11/xorg.conf.d"

haveged: haveged: ver: 1.9.4; arch: generic; vend: ; build: (gcc 8.2.0 CTV); collect: 128K

haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15528/64688

haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B):  last entropy estimate 8.00316

haveged: haveged: fills: 0, generated: 0

[   16.026741] random: crng init done

[   16.030145] random: 7 urandom warning(s) missed due to ratelimiting

Public key portion is:

ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQCJccTOO12mNYAgjVXmy7YIGaqY5oSH7STge+kqqfOSpPFWFJ04AT

q5H7g9LSBe8iJyjIOLHiWZgRVJ6ue1zPF8swa9utD4fcR4wRwY6YerUhDOvOoKeo2aJSHYJktQ640ntOib

0N5KyGc0Ua3HMAbfS12jW8Wgly2FWuZZKMhj8OZIVH/aJ2IDUnBKMcXYu0qGLDJo5dp/8IX3PfXdc/IlC0J+SfengHeEuF1ZSs+WyrdWgKR8oWF0KEa3IF7wd2qi2QIVQ83s5bl5kpbEpjDDhYXtkVqgvcXKj5x/3FxE0/PMbaGpPlUbpF00D84FNnAhmrB2tMRT6pkyN/dwozDp root@xilinx-zcu106-2019_2

Fingerprint: sha1!! c5:c2:50:11:22:ad:88:f3:3a:f3:9f:eb:96:8d:71:28:32:39:4e:f8

dropbear.

Starting internet superserver: inetd.

Starting syslogd/klogd: done

Starting tcf-agent: OK

PetaLinux 2019.2 xilinx-zcu106-2019_2 /dev/ttyPS0

xilinx-zcu106-2019_2 login: The XKEYBOARD keymap compiler (xkbcomp) reports:

> Warning:          Unsupported high keycode 372 for name ignored

>                   X11 cannot support keycodes above 255.

>                   This warning only shows for the first high keycode.

Errors from xkbcomp are not fatal to the X server

D-BUS per-session daemon address is: unix:abstract=/tmp/dbus-M3zDquoaFs,guid=4fc2da110e9d75b4b97af7565dc46edb

Failed to launch bus: Failed to execute child process ?/usr/bin? (Permission denied)matchbox: Cant find a keycode for keysym 269025056

matchbox: ignoring key shortcut XF86Calendar=!$contacts

matchbox: Cant find a keycode for keysym 2809

matchbox: ignoring key shortcut telephone=!$dates

matchbox: Cant find a keycode for keysym 269025050

matchbox: ignoring key shortcut XF86Start=!matchbox-remote -desktop

[settings daemon] Forking. run with -n to prevent fork

(matchbox-panel:2624): dbind-WARNING **: 19:22:03.627: Error retrieving accessibility bus address: org.a11y.Bus.Error: Failed to execute child process ?/usr/bin? (Permission denied)

(matchbox-desktop:2622): dbind-WARNING **: 19:22:03.627: Error retrieving accessibility bus address: org.a11y.Bus.Error: Failed to execute child process ?/usr/bin? (Permission denied)

PetaLinux 2019.2 xilinx-zcu106-2019_2 /dev/ttyPS0

xilinx-zcu106-2019_2 login: root

Password:

root@xilinx-zcu106-2019_2:~#

root@xilinx-zcu106-2019_2:~# mount

rootfs on / type rootfs (rw,size=1106336k,nr_inodes=276584)

proc on /proc type proc (rw,relatime)

sysfs on /sys type sysfs (rw,relatime)

debugfs on /sys/kernel/debug type debugfs (rw,relatime)

configfs on /sys/kernel/config type configfs (rw,relatime)

devtmpfs on /dev type devtmpfs (rw,relatime,size=1106336k,nr_inodes=276584,mode=755)

tmpfs on /run type tmpfs (rw,nosuid,nodev,mode=755)

tmpfs on /var/volatile type tmpfs (rw,relatime)

devpts on /dev/pts type devpts (rw,relatime,gid=5,mode=620,ptmxmode=000)

root@xilinx-zcu106-2019_2:~#

root@xilinx-zcu106-2019_2:~# ls -l /dev/mmcblk0

brw-rw----    1 root     disk      179,   0 Nov  7 19:21 /dev/mmcblk0

root@xilinx-zcu106-2019_2:~#

root@xilinx-zcu106-2019_2:~#

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值