Verilog实现inout端口类型

Verilog实现inout端口类型

inout端口类型既可以作为输出,也可以作为输入
/*
  TRI_INOUT:三态门,既可以作为输入,也可以作为输出
           :高阻态时为输入,其他情况为输出
           :可根据需要调整端口位宽
*/

module TRI_INOUT
(
   input  [15:0] TRI_data_in,  //当inout引脚为输出时,输出该引脚的数据
   input         TRI_control,  //控制引脚,控制inout输入还是输出
   
   output [15:0] TRI_data_out, //当inout引脚为输入时,该引脚输出inout输入的数据
   inout  [15:0] TRI_data      //输入输出引脚
);

//TRI_control = 1时,为输出    
//TRI_control = 0时,高阻态,为输入                                                         
assign TRI_data = TRI_control ? TRI_data_in : 16'bzzzzzzzzzzzzzzzz; 
assign TRI_data_out = TRI_data;

endmodule

下面是其RTL电路:
在这里插入图片描述

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值