matlab listfir,fir_verilog_matlab 本设计是基于FPGA的一个FIR低通滤波器 ,要求使用 语言编写 模块,通过 VHDL- - 238万源代码下载- www.pud...

文件名称: fir_verilog_matlab891ea1e7dab975064c6bfd22796603ae.gif下载

  收藏√  [443d104427974206832dc4b12407db70.gif

 5  4  3  2  1 fb9128a58cbeaabbeb3718ed75079ccf.gif]

开发工具: VHDL

文件大小: 1321 KB

上传时间: 2014-03-21

下载次数: 20

提 供 者: 郭婷

详细说明:本设计是基于FPGA的一个FIR低通滤波器设计,要求使用Verilog语言编写滤波器模块,通过编译和综合,并通过Matlab和modelsim联合仿真验证设计结果。-This design is a FIR low-pass filter design based on FPGA, use Verilog to program filter module, and joint simulation by Matlab and modelsim to validate the design results.

文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):

fir_verilog_matlab\fir\db\add_sub_l9h.tdf

..................\...\..\add_sub_m9h.tdf

..................\...\..\altsyncram_is81.tdf

..................\...\..\fir.cbx.xml

..................\...\..\fir.cmp.rdb

..................\...\..\fir.db_info

..................\...\..\fir.eco.cdb

..................\...\..\fir.hier_info

..................\...\..\fir.hif

..................\...\..\fir.lpc.html

..................\...\..\fir.lpc.rdb

..................\...\..\fir.lpc.txt

..................\...\..\fir.map.ecobp

..................\...\..\fir.map.kpt

..................\...\..\fir.map.qmsg

..................\...\..\fir.map_bb.cdb

..................\...\..\fir.map_bb.hdb

..................\...\..\fir.map_bb.logdb

..................\...\..\fir.pre_map.cdb

..................\...\..\fir.pre_map.hdb

..................\...\..\fir.rtlv.hdb

..................\...\..\fir.rtlv_sg.cdb

..................\...\..\fir.rtlv_sg_swap.cdb

..................\...\..\fir.sgdiff.cdb

..................\...\..\fir.sgdiff.hdb

..................\...\..\fir.sld_design_entry.sci

..................\...\..\fir.sld_design_entry_dsc.sci

..................\...\..\fir.syn_hier_info

..................\...\..\fir.tis_db_list.ddb

..................\...\..\logic_util_heursitic.dat

..................\...\..\mult_28t.tdf

..................\...\..\mult_88t.tdf

..................\...\..\mult_mu01.tdf

..................\...\..\mult_su01.tdf

..................\...\fir.asm.rpt

..................\...\fir.done

..................\...\fir.eda.rpt

..................\...\fir.fit.rpt

..................\...\fir.fit.summary

..................\...\fir.flow.rpt

..................\...\fir.map.rpt

..................\...\fir.map.summary

..................\...\fir.pin

..................\...\fir.pof

..................\...\fir.qpf

..................\...\fir.qsf

..................\...\fir.qws

..................\...\fir.sof

..................\...\fir.tan.rpt

..................\...\fir.tan.summary

..................\...\fir.v

..................\...\fir_nativelink_simulation.rpt

..................\...\fir_top.v

..................\...\fir_top_tb.v

..................\...\fix_mult.v

..................\...\incremental_db\compiled_partitions\fir.db_info

..................\...\..............\...................\fir.root_partition.cmp.cdb

..................\...\..............\...................\fir.root_partition.cmp.dfp

..................\...\..............\...................\fir.root_partition.cmp.hdb

..................\...\..............\...................\fir.root_partition.cmp.kpt

..................\...\..............\...................\fir.root_partition.cmp.logdb

..................\...\..............\...................\fir.root_partition.cmp.rcfdb

..................\...\..............\...................\fir.root_partition.cmp.re.rcfdb

..................\...\..............\...................\fir.root_partition.map.atm

..................\...\..............\...................\fir.root_partition.map.cdb

..................\...\..............\...................\fir.root_partition.map.dpi

..................\...\..............\...................\fir.root_partition.map.hdb

..................\...\..............\...................\fir.root_partition.map.hdbx

..................\...\..............\...................\fir.root_partition.map.kpt

..................\...\..............\README

..................\...\input_rom.v

..................\...\rom.hex

..................\...\serv_req_info.txt

..................\...\.imulation\modelsim\fir.sft

..................\...\..........\........\fir.vo

..................\...\..........\........\fir_modelsim.xrf

..................\...\..........\........\fir_v.sdo

..................\...\transcript

..................\..._matlab\bin.txt

..................\..........\bin2.txt

..................\..........\data_in.txt

..................\..........\data_in2.txt

..................\..........\fir.m

..................\..........\文件说明.txt

..................\....tb(modelsim的project)\rom\fir.v

..................\..........................\...\fir.v.bak

..................\..........................\...\fir_top.v

..................\..........................\...\fir_top.v.bak

..................\..........................\...\fir_top_tb.v

..................\..........................\...\fir_top_tb.v.bak

..................\..........................\...\fix_mult.v

..................\..........................\...\fix_mult.v.bak

..................\..........................\...\input_rom.qip

..................\..........................\...\input_rom.v

..................\..........................\...\input_rom.v.bak

..................\..........................\...\modelsim仿真波形.jpg

..................\..........................\...\rom.cr.mti

..................\..........................\...\rom.hex

..................\..........................\...\rom.mpf

..................\..........................\...\rom.ver

输入关键字,在本站238万海量源码库中尽情搜索:

帮助

[I2C_verilog_bus.zip] - I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序介绍操作一个I2C总线接口的EEPROM AT24C02

的方法,使用户了解I2C总线协议和读写方法。

[fir-and-iir.rar] - FPGA关于数字滤波器设计,FIR的FPGA实现及其Quartus与MATLAB仿真

[FIR.rar] - 14阶FIR滤波器的硬件实现,附加testbench与Matlab验证.

[filter_verilog.rar] - 用verilog实现的低通滤波器,输入输出精度为64位,并附有测试程序。

[fir.rar] - 利用FPGA中verlog HDL实现FIR滤波功能,可自行设置相关参数,生成模块

[FPGA_FIR.rar] - 基于FPGA的FIR滤波器的源代码,经测试完全正常。

[fir-c2h.zip] - 基于fpga的fir滤波器的设计

非常好,谢谢大家分享

[fir-matlab.rar] - fir算法的MATLAB实现过程。非常完整的实现了FIR算法,运行速度很好

[verilog.rar] - 经典Verilog源代码,包括加法器,滤波器和qpsk的设计等等...

[18a.rar] - 匹配滤波器设计,VERILOG实现的,比较好的哦

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值