cordic算法反正切c语言,Cordic 算法之 反正切

在通信的算法中,常采用Cordic算法之一,知道角度产生正交的的正弦余弦,

或者知道正弦和余弦求角度,求反正切。

1. 求正弦和余弦值。

方法:旋转角度,得到正弦余弦值; 再旋转角度,到达下一个正弦余弦值;直到旋转的角度趋近于 0 ,不能再进行旋转。

把每次旋转的坐标的x,Y 轴的值各自相加,即得到为该角度的正弦和余弦值。

2 .求反正切:Angle  = artan(y/x)。

方法: 及给定x,y 的坐标通过向量旋转,使得y 值不断减小, 通过不断地迭代使得 y 逐渐 趋渐0;

最终得到旋转的角度之和 z, z 即为 反正切值。

验证 输入y=5000, x=5000;通过计算 atan(5000/5000) =0.5(pi 弧度)*90度 = 45度。

623359d7fc640c272b54cc29b893d576.png

验证 输入y=6000, x=4000;通过计算 atan(6000/4000) =0.626(pi 弧度)* 90度 = 56.3度

e78d30abf48fe75c122055efe6f5a6a7.png

验证 输入y=6000,

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
CORDIC(Coordinate Rotation Digital Computer)是一种用于计算三角函数和向量旋转的算法反正函数是CORDIC算法的一种重要函数,可以通过Verilog语言来实现。 CORDIC算法的实现步骤如下: 1. 初始化:将输入角度和比例因子分别存储在变量,初始化变量x和y为0,变量z为输入角度。 2. 循环迭代:根据CORDIC算法的迭代步骤,通过计算旋转因子和移位因子,更新x、y和z的值。迭代步骤的次数取决于精度的要求,可以选择任意次数的迭代。 3. 输出结果:在经过指定的迭代次数后,得到最终的x和y值,通过计算结果atan(y/x)可以获得反正值。 在Verilog,可以通过以下代码实现CORDIC算法反正函数: ```verilog module cordic_atan( input signed [N-1:0] angle, // 输入角度 output signed [N-1:0] atan // 输出反正值 ); reg signed [N-1:0] x; // x值 reg signed [N-1:0] y; // y值 reg signed [N-1:0] z; // z值 integer i; initial begin // 初始化步骤 x = 0; y = 0; z = angle; // 迭代步骤 for(i = 0; i < N; i = i+1) begin if(z >= 0) begin x = x - (y >> i); y = y + (x >> i); z = z - (1 << i); end else begin x = x + (y >> i); y = y - (x >> i); z = z + (1 << i); end end // 计算反正值 atan = y / x; end endmodule ``` 在上述代码,N表示迭代的次数,可以根据精度要求进行调整。输入角度angle为有符号的N位数据,输出反正值atan也为有符号的N位数据。反正的计算结果atan是通过计算变量y和x的比值得到的。 通过以上的Verilog代码实现,可以实现CORDIC算法反正函数。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值