Verilog在vivodo中实现真双口RAM IP Core读写操作

功能代码:

`timescale 1ns / 1ps
module ram_16(
    input clk,
    input rst_n	 
);
	reg ena;//总使能控制
	reg wren1;//RAM1使能
	reg wren2;//RAM2使能
	reg [7:0] addr;//8位地址位
	reg [7:0] writedata;//写入数据
	reg [7:0] readdata;//读取数据
	wire [7:0] readdata_flag;
	
	reg state;//状态寄存器


always @ (posedge clk or negedge rst_n)//写地址信号控制0~15
begin
    if(!rst_n) 
		begin
		ena <= 0;
		wren1 <= 0;
		wren2 <= 0;
		addr <= 0;
		writedata <= 0;
		state <= 0;
		end
    else 
	begin
	case(state)
	0:begin
        if(addr < 255)//RAM1中0~255地址写数据
		begin 
			addr <= addr + 1;
			ena <= 1;
			wren1 <= 1;
		end
		else
		begin
			addr <= 0; //转到下一个状态,地址清0,开始读
			state <= 1;
			wren1 <= 0;
			wren2 <= 1;
		end
		if(writedata < 255)
			writedata <= writedata + 1;
		else 
			writedata <= 0;
			
	1:begin
		if(addr < 255)
		begin
			addr <= addr + 1;
			wren2 <= 1;
			wren1 <= 0;
			readdata_flag = readdata;
		end
		else 
		begin
			state <= 0;
			addr <= 0;
		end
	end
	dafault:state <= state;
	endcase
	
	end
end	

blk_mem_gen_0 u1 (
  .clka(clk),      //写端口
  .ena(ena),    
  .wea(wren1),      
  .addra(addr),  
  .dina(writedata),   
  .douta(), 
  
  .clkb(clk),      //读端口
  .enb(ena),      
  .web(wren2),      
  .addrb(addr),  
  .dinb(8'd0),    
  .doutb(readdata) 
);
endmodule				

testbench:

`timescale 1ns / 1ps
module TB();
reg clk;
reg rst_n;

always #5 clk = ~clk;
endmodule
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值