Verilog描述的简单的异步RAM

46 篇文章 8 订阅
4 篇文章 0 订阅


module simple_dc_ram#( parameter dw = 64,aw=7) (
        input rd_clk,wr_clk,wr,
        input [aw-1:0]wr_addr,rd_addr,
        output reg [dw-1:0]q ,
        input [dw-1:0]d
    );
    reg    [dw-1:0]    mem [(1<<aw)-1:0];
    always @(posedge rd_clk)    q <=  mem[rd_addr];
    always @(posedge wr_clk) if (wr) mem[wr_addr] <=  d;
endmodule

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值