平方变换载波同步 matlab,matlab源码-costas载波同步环.docx

本文探讨了COSTAS环在数字通信系统中的关键作用,特别关注了传统COSTAS环的结构和资源消耗问题。提出了一种CIC滤波器优化的环路结构,用于降低FPGA资源占用。文章介绍了SIMULINK模型实现和FPGA芯片验证的过程,展示了改进后的COSTAS环在锁定和载波恢复方面的性能提升。
摘要由CSDN通过智能技术生成

41528d3028836879cd698677c3999917.gifmatlab源码-costas载波同步环.docx

在利用相干解调的数字通信系统中,载波同步是正确解调的前提,也是实际通信中的一项关键技术,没有载波同步就不可能正确的恢复出数字信号。常用的载波同步方法有平方环和COSTAS环等,由于COSTAS环有跟踪低信噪比的抑制载波信号的特性而在实际系统中得到广泛的应用,目前国内外对COSTAS环尤其是改进后的COSTAS环进行了一系列的研究,尚耀波等建立了COSTAS环的Z域模型,通过软件编程实现,称之为软件COSTAS环;李波等根据COSTAS环的结构,在FPGA芯片中完成了硬件实现。然而,这些研究大部分都是基于传统的COSTAS环结构,同时也没有考虑到程序在实际应用中的资源占用情况。本文在仔细分析COSTAS环的构成原理后,根据实际硬件的片上资源调整了滤波器的结构,提出一种用CIC滤波器来取代传统的环路滤波器。最后,本文结合项目的应用,首先在SIMULINK平台上实现了该算法,然后移植到VERILOG上,用实际的FPGA芯片对此算法进行了验证。1COSTAS环原理11传统COSTAS环的结构科斯塔斯环又称同相正交环COSTAS环,COSTAS环由COSTAS1956年提出,其环路工作频率为载波频率,远远低于平方环的工作频率,实现成本较低。其原理框图如图1(A)ACOSTAS环原理框图B改进后COSTAS环原理框图图1改进前后COSTAS环原理框图在COSTAS环环路中,误差信号V7是通过两路低通滤波输出相乘得到。压控振荡器VCO输出信号直接供给一路相乘器,供给另一路的则是压控振荡器输出经90O移相后的信号。两路相乘后经过低通滤波和环路可以得到仅与载波相位偏差信号有关的信号V7用此信号来控制VCO就可以调整VCO输出和载波信号保持一致的相位。现在从理论上对COSTAS环的工作过程加以说明。设输入调制信号为,则(11)(12)经低通滤波器后的输出分别为将V5和V6在相乘器中相乘,得,(13)(13)中Θ是压控振荡器输出信号与输入信号载波之间的相位误差,当Θ较小时,(14)(14)中的V7大小与相位误差Θ成正比,它就相当于一个鉴相器的输出。用V7去调整压控振荡器输出信号的相位,最后使稳定相位误差减小到很小的数值。这样压控振荡器的输出就是所需提取的载波。12本设计COSTAS环的结构考虑到FPGA资源的消耗的问题,本设计在实际过程中采用了CIC加低通滤波器的结构,并且用CIC滤波器代替了环路滤波器,改进后的结构图如图1(B)所示,仿真结果表明,在提取载波效果相同的基础上,改进后的COATAS环大大节省了资源。2COSTAS环的SIMULINK实现本SIMULINK仿真在MATLAB65环境下通过。仿真模型如图2所示。调制模块采用BERNOULLIBINARYGENERATOR模块产生的32K的NRZ码与SINEWAVE模块产生的128K的载波相乘,然后与压控振荡器VCO恢复的本地载波进行相乘,VCO输出信号90度移相是通过希尔伯特变换来完成的。图2COSTAS环载波恢复和解调模型调制后的信号与VCO恢复的相互正交的两路本地载波进行相乘后,分为IQ两路,经过低通滤波器成为基带信号的解调输出,考虑到采样频率过高会造成FPGA芯片资源消耗严重,所以此处低通滤波器用CIC滤波器加低通滤波器的结构代替。COSTAS环设计的重点是环路滤波和VCO参数的调整。1环路滤波本设计环路滤波部分用CIC滤波取代。CIC滤波器可以对数据流进行降速处理,本设计IQ两路采用抽取后滤波,降低4倍的采样速率(见图3中的DOWNSAMPLE模块)。图2中的CIC内部结构图如图3所示,后面的FPGA仿真也验证了此方法的优点和正确性。图3CIC滤波器结构图2VCO本COSTAS环调频信号用VOLTAGECONTROLLEDOSCILLATOR产生,中心频率和输出信号幅度和载波信号保持一致,压控灵敏度根据实际情况计算调节。(21)(22)本设计中为信号的中心频率,为调整步进,MCLK取4096M,N取22位,则(23)压控灵敏度取09765625。(3)仿真结果图4为运行SIMULINK模型后的波形。图4(A)是图2中示波器SCOPE的波形,为低通滤波后的IQ两路信号与VCO输入电压。图4(B)是图2中示波器SCOPE1的波形,为调制载波与恢复载波。A低通滤波后的IQ两路信号与VCO输入电压B调制载波与恢复载波图4COSTAS环SIMULINK仿真结果由图4(A)仿真波形可以看出,VCO输入电压在过一段时间后波形稳定,低通滤波后的IQ两路信号恒包络并且一路趋于为零,表示环路得到锁定。图4(B)仿真波形可以看出,环路恢复出的载波与调制载波频率相同,表示环路已经成功的恢复出了载波信号。3COSTAS环的FPGA实现本系统由VERILOG语言进行设计,硬件选择CYCLONEⅡ系列的EP2C5Q208C8芯片,布局布线。综合工具选择QUARTUSⅡ81,波形仿真工具MODELSIMSE61F,系统由正交分量相乘模块,CIC滤波模块,低通滤波模块,误差相乘模块和DDS模块组成。FPGA实现后的顶层RTL结构图如图5所示。图5FPGA实现后的顶层RTL结构图1DDS模块DDS模块调用QUARTUS自带的IPCORENCO数控振荡器。NCO在波形仿真中要注意拷贝CORE中的DDS_COS_CHEX,DDS_COS_FHEX,DDS_SIN_CHEX,DDS_SIN_FHEX这4个文件到SIMULATION\MODELSIM文件夹下,此4个文件用来产生正弦波,如果仿真过程中VCO没波形,要注意检查此问题,并且在改变NCO的设置时,同样要检查这4个文件是否同时更新。2环路锁定的判定图6A为环路锁定后的波形,图6B为环路锁定后的波形放大图。图中COSTAS_OUT为环路恢复出的正弦波,DDS_IN为压控振荡器的输入电压,I_LPF为I路信号经过低通滤波器后信号,Q_LPF为Q路信号经过低通滤波器后信号。A环路锁定后的波形B环路锁定后的波形放大图图6COSTAS环MODELSIM仿真结果由图6可以看出,此环路已经很好的锁定。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值