关于verilog开发板实践(个人记录)

本页面以流水灯为例
一,编写.v文件
如下(代码贴下面):在这里插入图片描述
module flow_led(
input CLK_50M,
input RST_N,

output reg [3:0] ledA
);

reg [23:0] counter;

always @(posedge CLK_50M or negedge RST_N) begin
if (!RST_N)
counter <= 24’d0;
else if (counter < 24’d10000000)
counter <= counter + 1’b1;
else
counter <= 24’d0;
end

always @(posedge CLK_50M or negedge RST_N) begin
if (!RST_N)
led <= 4’b0001;
else if (counter == 24’d10000000)
led[3:0] <= {led[2:0],led[3]};
else
led <= led;
end
endmodule
二,分配对应开发板的管脚
不同的开发板的管脚不一样,我的开发板分配如下:
在这里插入图片描述
三,下载程序
在这里插入图片描述
得到开发板的流水灯效果:
在这里插入图片描述
注意:
新建project的时候选择对应的芯片,且.v文件module名和project的文件名一致:
在这里插入图片描述

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值