Tools ---Icarus Verilog和GTKwave使用简析

本文介绍了Icarus Verilog和GTKwave的下载安装过程,特别是针对Windows和Linux系统的安装步骤。此外,还列出了iverilog的常用选项,并提供了iverilog仿真时的注意事项,包括代码命名规范、结束仿真的推荐方式以及编译命令的使用建议。
摘要由CSDN通过智能技术生成
1. 下载安装
windows

Icarus Verilog中已经包含了GTKWave,直接从http://bleyer.org/icarus/下载安装,这里提供的为Windows版,我下载的为当前时间最新版:iverilog-10.1.1-x64_setup.exe,整个安装包才9.77MB,安装后也只有不到50MB。经测试,其实安装后只要把安装所在目录打包复制到其它电脑也可以用,即下载的这个安装类似一个自解压文件,但会增加两个路径到PATH。所以将安装目录打包复制到其它电脑后只要将以下两个目录(iverilog和gtkwave可执行文件所在目录)加入系统PATH环境变量中即可(这里假设放入其它电脑的目录为E:\iverilog):

E:\iverilog\bin
E:\iverilog\gtkwave\bin

或者在运行批处理文件前加入如下代码即可(即使用前将这两个路径临时加入PATH):

set iverilog_path=d:\iverilog\bin;
set gtkwave_path=d:\iverilog\gtkwave\bin;
set path=%iverilog_path%%gtkwave_path%%path%

Linux/Ubuntu:

直接用下面的命令就可以安装:
sudo apt-get install iverilog
sudo apt-get install gtkwave

2
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值