【计算机科学】【2016.03】基于人工神经网络的片上网络多核平台温度预测框架

在这里插入图片描述
本文为美国罗切斯特理工学院(作者:Sandeep Aswath Narayana)的硕士论文,共56页。

硅工艺技术的不断改进使得在单个芯片上集成数百个核成为可能。然而,功耗和散热已经成为设计这些大规模多核芯片的主要限制,可能导致芯片的可靠性、时序变化和寿命缩短的问题。动态热管理(DTM)是一种解决方案,以避免模具上产生的高温。典型的DTM方案只解决内核级别的热问题。然而,片上网络(NOC)已经成为一种使数百到数千个内核在同一芯片上集成的有效方法,可以显著地改善热问题。此外,典型DTM是基于需要长反应时间的片上热传感器温度测量而反应触发的,而预测DTM方法则提前估计未来的温度,从而消除了温度超调的可能性。由于人工神经网络(ANN)具有学习和自适应能力,已被广泛应用于各种领域的建模和预测。本文致力于设计一个神经网络预测引擎来预测芯片内核和片上网络部件的热分布,结合内核级和网络级DTM技术的预测DTM将使用这些热分布数据。片上无线互连(最近设想用于在多核环境中实现内核之间的低能耗数据交换)将用于提供具有广播能力的介质,以有效地分发热控制消息触发并管理DTM方案。

Continuous improvement in silicon process technologies has madepossible the integration of hundreds of cores on a single chip. However, powerand heat have become dominant constraints in designing these massive multicorechips causing issues with reliability, timing variations and reduced lifetimeof the chips. Dynamic Thermal Management (DTM) is a solution to avoid hightemperatures on the die. Typical DTM schemes only address core level thermalissues. However, the Network-on-chip (NoC) paradigm, which has emerged as anenabling methodology for integrating hundreds to thousands of cores on the samedie can contribute significantly to the thermal issues. Moreover, the typicalDTM is triggered reactively based on temperature measurements from on-chip thermalsensor requiring long reaction times whereas predictive DTM method estimatesfuture temperature in advance, eliminating the chance of temperature overshoot.Artificial Neural Networks (ANNs) have been used in various domains formodeling and prediction with high accuracy due to its ability to learn andadapt. This thesis concentrates on designing an ANN prediction engine topredict the thermal profile of the cores and Network-on-Chip elements of thechip. This thermal profile of the chip is then used by the predictive DTM thatcombines both core level and network level DTM techniques. On-chip wireless interconnectwhich is recently envisioned to enable energy-efficient data exchange betweencores in a multicore environment, will be used to provide a broadcast-capable mediumto efficiently distribute thermal control messages to trigger and manage theDTM schemes.

1 引言

2 与本文相关的工作

3 人工神经网络在多核芯片温度分布预测中的应用

4 集成动态热管理的基于神经网络的热预测器

5 结论

下载英文原文地址:

http://page5.dfpan.com/fs/el2c2j1232210269163/

更多精彩文章请关注微信号:在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值