modelsim的 # (vish-4014) No objects found matching '/*'

转:http://blog.sina.com.cn/s/blog_b9268c750102vrkl.html
一、
在6.2以前的所有版本中,modelsim安装目录下的modelsim.ini文件中,VoptFlow选项是默认被注释掉,也就是关闭的,而在6.2系列版本中,默认是打开的,这就可能导致仿真时出现问题。

VoptFlow在ini文件中的描述是:Set to turn on automatic optimization of a design.
但是如果打开,在用modelsim仿真时,可能出现不能把object添加到wave中去的问题,用add wave all命令的话,会提示

** Error: (vish-4014) No objects found matching ‘/tb_ethernet/wb_clk’.

Executing ONERROR command at macro ./…/bin/eth_wave.do line 3

** Error: (vish-4014) No objects found matching ‘/tb_ethernet/wb_rst’.

Executing ONERROR command at macro ./…/bin/eth_wave.do line 4

** Error: (vish-4014) No objects found matching ‘/tb_ethernet/wb_int’.

Executing ONERROR command at macro ./…/bin/eth_wave.do line 5

** Error: (vish-4014) No objects found matching ‘/tb_ethernet/mtx_clk’.

Executing ONERROR command at macro ./…/bin/eth_wave.do line 6

必须把ini文件中的这句
VoptFlow = 1
注释掉,或者把1改为0,方可解决问题。

二、 如果一不能解决,再用二来解决。
点击工具栏中的“simulate”按钮,或用菜单中的命令“simualte->start simulation”调出start smulation窗口,关键是把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。

  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值