自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(44)
  • 资源 (9)
  • 收藏
  • 关注

原创 SV、UVM与验证思想

文章目录1 SV基础1.2 sequence和property的比较1.3 SV数据类型1.4 fork线程1.5 任务和函数1.6 接口interface2 UVM基础2.1 UVM的优势和劣势、方法学的演变2.0 UVM树形结构2.1 UVM组件Components2.1 Components和Objects的联系与区别2.2 sequence机制2.3 interface接口和virtual interface2.4 phase机制2.5 factory工厂机制2.6 config_db机制2.7 f

2021-09-01 02:15:47 8628 4

原创 数字IC验证:电路基础知识(数字IC、SOC等)

文章目录0 SOC结构1 数字IC设计的流程1.1 逻辑综合的流程2 电路基础3 Verilog基础4 时序分析4.1 亚稳态4.2 建立时间与保持时间4.3 复位4.4 跨时钟域5 计算机体系架构/SOC5.1 CPU的基本结构5.2 Cache的作用5.3 AMBA总线协议5.4 通信协议6 FIFO7 SV基础8 UVM基础9 验证通识/思想10 低功耗写在前面:本文主要为笔者准备数字IC秋招时学习的一些技术问题。主要意向岗位是数字IC验证。希望对大家有帮助,共同进步。也希望自己能拿到一个满意的

2021-08-12 01:54:31 6503 1

原创 【SystemVerilog】generate block

generate block有点类似for循环,可以减少重复代码。比for高级的地方在于,for只能用在普通的不同index信号的赋值,而generate还可以用于多个module、always、function、task、assign、case、if等等更复杂语句的创建。语法格式不难,看下面的例子:效果等同于...

2022-05-07 02:24:37 982

原创 【SystemVerilog】类的重载class override

应用场景:有时候为了添加一些新特性,定义新的类A extends 类B,在后续的使用中,想要在所有用到类B的地方,直接替换成类A。这时候就可以使用类的重载class override使用方法:假设现在class c_dig_qspi_reg_block_ext extends c_dig_qspi_reg_block,要把reg_block直接替换成reg_block_ext,可以在base test的build_phase里用set_type_override_by_type方法对该方法的各参数

2022-05-07 02:12:41 965

原创 数字IC验证:Hands-on Coding之Virtual Sequencer

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。一 Vseqr的基本结构介绍Vseqr一般包括以下几个部分:config db get cfg class声明各种需要用到的seqr在调用seq时,可以直接用vseqr来start,也可以指定vseqr中的某一个seqr来start.

2022-05-07 02:03:21 582

原创 数字IC验证:Hands-on Coding之UVM MEM

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。一 UVM MEM的基本结构介绍二 模板化的代码例程...

2022-05-07 01:54:07 1322

原创 数字IC验证:Hands-on Coding之Functional Coverage

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。一 Functional Coverage的基本组成收集Functional Coverage的步骤如下:定义functional coverage,包含covergroups,coverpoint。covergroup的输入变量是信号(.

2022-05-03 20:17:00 712

原创 数字IC验证:Hands-on Coding之Scoreboard

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。一 scoreboard的基本结构介绍Scoreboard是比较复杂的,但基本由以下几部分组成:TLM exports的端口声明定义,以及对应的write函数。在write函数中处理一些数据,transaction,比如txn中的重要信息.

2022-05-03 01:08:59 829

原创 数字IC验证:Hands-on Coding之Monitor

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-05-01 20:42:02 421

原创 数字IC验证:Hands-on Coding之env

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-05-01 19:54:56 423

原创 数字IC验证:Hands-on Coding之Sequences

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-05-01 01:00:40 328

原创 数字IC验证:Hands-on Coding之testcase

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-05-01 00:20:39 987

原创 数字IC验证:Hands-on Coding之config class

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-04-29 20:30:16 350

原创 数字IC验证:Hands-on Coding之package

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。一 package的基本结构介绍package可以用来定义一些params,defines,enums等。 内部结构不难,但要记得在其他module, class, interface中使用时先import这个package(如import .

2022-04-29 16:55:08 322

原创 数字IC验证:Hands-on Coding之Harness Interface与内部的Interfaces

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-04-29 16:48:04 937

原创 数字IC验证:Hands-on Coding之tb.sv

写在前面:之前的博客都是纯理论,虽然基础理论也很重要,但是最近几个月的实习,让我越来越感受到把理论(包括对SV语法的掌握,以及UVM体系的理解)转化成Coding不是一蹴而就的。所以决定把近期所学整理成一个“Hands-on Coding”系列,便于学习与进步。...

2022-04-29 15:37:06 870

原创 【SystemVerilog】语法细节(不定期更新)

这篇文章用来记录SV的一些语法细节,对于初学者而言,有时候很多bug都是因为这样的小细节产生的。logic类型的缺省值是x,不是0if(value == 'd1||'d2 )并不是表示value等于1 或者value等于2,如果要表示后述情况,应该用if(value == 'd1 || value == 'd2),或者if(value inside {'d1, 'd2})...

2022-03-11 00:00:53 404

原创 【SystemVerilog】并行线程的几种实现(从@语句中break的问题延伸)

文章目录一、从@语句中break的问题二、并行线程的几种实现一、从@语句中break的问题最近遇到一个情形,已经进入@语句等待敏感事件A,但是中途若出现另一个事件B,要求立即从@语句中break出来,且不执行后续语句。写了个简化逻辑如下:task wait_a; @(A); // 阻塞等待 execute_function(); // 事件A触发后,才会执行execute_functionendtask不同于设置进入@语句的条件,可以通过 iff 或 -> 来约束,对于已经进入阻塞等待

2022-03-04 14:12:39 1054

原创 【SystemVerilog】Fatal: (SIGSEGV) Bad handle or reference

问题:Systemverilog里的继承:父类中声明了cfg object的handle,且父类中的virtual function A里调用了cfg。子类中继承了父类的function A,但是子类额外声明了一个cfg object。这种情况下,调用子类时,进父类执行function A,会出现cfg的Bad handle or reference的问题。解决方案:去掉子类中的cfg handle声明。因为本身子类是可以继承父类中的cfg的,调用子类时,把实际的cfg传进子类的cfg handle

2022-02-09 19:11:22 1658 1

原创 【QuestaSim】UI-Msg: (vish-4014) No objects found matching

问题:QuestaSim仿真时,compile成功,但运行do脚本时生成波形命令报错。其中c_vc_apbmst_mon是在c_vc_apbmst_pkg文件中include并进行编译的:解决方案:把c_vc_apbmst_mon额外添加到project里(虽然pkg已经添加进来,且无compile error),单独compile c_vc_apbmst_mon这个文件,发现有报错,再针对性debug,就不会出现No objects found matching了。...

2021-10-27 11:57:55 1110

原创 数字IC验证:《UVM实战》笔记 - Ch2 一个简单的UVM验证平台(下) - sequence,建造测试用例

文章目录2.4 UVM的终极大作:sequence2.4.1 在验证平台中加入sequencer2.4.2 sequence机制2.4.3 default_sequence的使用2.5 建造测试用例2.5.1 加入base_test2.5.2 UVM中测试用例的启动写在前面:本系列为张强《UVM实战》白皮书的阅读笔记,书写得很好,很有意思,也适合入门。本文目录序号均为书中章节编号,便于对应。此外,本系列中的代码注释为本人补充,之后会上传到我的CSDN资源中。2.4 UVM的终极大作:seq

2021-08-05 18:08:49 1508

原创 数字IC验证:《UVM实战》笔记 - Ch2 一个简单的UVM验证平台(中) - 为验证平台加入各个组件

文章目录2.3 为验证平台加入各个组件2.3.1 加入transaction2.3.2 加入env2.3.3 加入monitor2.3.4 封装成agent2.3.5 加入reference model2.3.6 加入scoreboard2.3.7 加入field_automation机制写在前面:本系列为张强《UVM实战》白皮书的阅读笔记,书写得很好,很有意思,也适合入门。本文目录序号均为书中章节编号,便于对应。此外,本系列中的代码注释为本人补充,之后会上传到我的CSDN资源中。2.3

2021-08-05 01:46:52 1171

原创 数字IC验证:《UVM实战》笔记 - Ch2 一个简单的UVM验证平台(上) - 验证平台的组成,只有driver的验证平台

文章目录2.1 验证平台的组成2.2 只有driver的验证平台2.21 最简单的验证平台2.2.2 加入factory机制2.2.3 加入objection机制2.2.4 加入virtual interface2.3 为验证平台加入各个组件2.3.1 加入transaction2.3.2 加入env2.3.3 加入monitor2.3.4 封装成agent2.3.5 加入reference model2.3.6 加入scoreboard2.3.7 加入field_automation机制2.4 UVM的终

2021-08-04 15:05:01 1335

转载 数字IC验证:System Verilog - 代码规范Coding Guidelines

文章目录1 Genral Coding Style2 Class Names and Members3 Files and Directories4 SystemVerilog Language Guidelines写在前面:本文为代码规范的整理精炼,内容来源:Verification Academy - SV/Guidelines。1 Genral Coding Style1.1 Indent your code with spaces1.2 Only one declaration or

2021-07-29 21:13:57 1144

翻译 数字IC验证:System Verilog -接口Interfaces

文章目录1 引言Introduction2 接口中的端口Ports in Interface3 Modports4 例程:mem_if.sv写在前面:最近实习期间自学System Verilog,找到一个英文教程Asic-world/system verilog,写得挺好的,主要通过代码示例进行学习。因此翻译下来作为巩固与分享,其中也额外增加了补充内容。英文原文入口:Asic-World (Task And Functions)。Copyright: Deepak Kumar Tala。文中的所有例程

2021-07-28 23:01:28 749

翻译 数字IC验证:System Verilog - 任务task与函数function

文章目录1 引言Introduction2 任务Tasks2.1 例程:task_intro.sv3 函数Functions4 任务与函数的参数传递5 导入与导出函数写在前面:最近实习期间自学System Verilog,找到一个英文教程Asic-world/system verilog,写得挺好的,主要通过代码示例进行学习。因此翻译下来作为巩固与分享,其中也额外增加了补充内容。英文原文入口:Asic-World (Task And Functions)。Copyright: Deepak Kumar

2021-07-28 21:33:39 2280 3

原创 数字IC设计:绪论Introduction

文章目录1 Two transistor types2 Moore's Law3 P-N Junctions & Diode Equation4 MOS Operations4.1 NMOS Cross Section4.2 MOS Transistors Types & Symbols4.3 Three Modes of NMOS Operation: Cutoff, Linear, Saturation写在前面:本文为学习笔记整理,参考资料主要是Neil Weste和David Ha

2021-07-28 01:47:13 596

转载 数字IC验证:Perl快速入门

写在前面:最近实习需要学习perl的基础知识,由于目前只需要了解perl基本语法,看懂即可,不做深入学习,因此写下本文,用于Perl快速入门。内容主要来源:CSDN@David_Berkdong 《Perl与Python之间的一些异同》文章目录1 Perl的基本数据类型2 Perl流程控制语句2.1 if 判断语句2.2 unless判断语句2.3 for 循环语句2.4 while 循环语句2.5 循环控制符3 Perl的函数4 Perl的包与模块5 Perl的OOP6 Perl正则表达式1 .

2021-07-28 00:40:49 1707

原创 数字IC验证:ARM协议之AMBA低功耗接口Q-channel

写在前面:最近实习项目里用到Q-channel,因此简单整理一下,内容大多来自ARM官方文档与网络上的,我主要做一个整合,加上自己的理解补充,内容来源都会分别标出。如有侵权请指出,立刻删帖。官方文档入口:Qchannel文章目录1 什么是Q-channel?2 Q-channel的接口信号3 Q-channel接口的握手状态4 Q-channel的2种握手时序图-接收&拒绝4.1 设备接收控制器的power请求4.2 设备拒绝控制器的power请求1 什么是Q-channel?内容来.

2021-07-27 17:35:14 2377

原创 数字IC验证:总线握手协议(VALID/READY握手机制)

写在前面:最近学习总线协议,涉及握手,因此整理本文。若内容有疑惑或错误之处,请在评论区指出,感谢!文章目录1 什么是“握手”?2 VALID/READY握手机制3 Case study: Qchannel的握手协议1 什么是“握手”?“握手协议”指让控制总线的主设备与从设备之间遵照各种协定进行高速而可靠的寻址和数据传输。主要通过控制每个总线周期中数据传送的开始和结束,以实现主/从设备间的协调和配合,保证数据传输的可靠性。2 VALID/READY握手机制本文主要介绍的是总线协议上常用的VAL.

2021-07-27 16:41:01 5732 4

原创 数字IC验证:ARM总线协议AMBA中AHB、APB的简介、区别与联系

写在前面:最近实习项目里用到这三个协议,因此简单整理一下,内容大多来自ARM官方文档与网络上的,我只是做一个整合,来源都会分别标出。如有侵权请指出,立刻删帖。官方文档入口:AMBA(包括AHB, ASB, APB);Qchannel文章目录1 AMBA总线2 AHB2.1 一个典型的基于AHB的微控制器2.2 AHB总线互联结构:中心选择器连接master与slave2.3 AHB的特性3 APB4 AHB和APB的区别与联系4 Q-channel1 AMBA总线内容来源:维基百科词条-Ad.

2021-07-27 14:34:54 7717 2

翻译 数字IC验证:System Verilog学习 - 过程语句与控制流

文章目录1 引言Introduction2 选择语句Selection Statements2.1 if 语句2.2 case语句2.3 case inside语句2.4 例程unique_priority.sv3 循环语句Loop Statement3.1 例程:do_while_loop.sv3.2 例程:for_loop.sv3.3 例程:foreach_loop.sv4 跳转语句Jump Statement4.1 例程:break_loop.sv4.2 例程:continue_loop.sv4.3

2021-07-22 17:17:29 2434

原创 Linux:一文掌握vim/gvim最常用的24个快捷键

文章目录光标定位、翻页撤销、删除复制、剪切、粘贴、选中其他写在前面:本文尽量简洁,持续筛选并更新。若文中有任何错误,请务必在评论区指出,感谢!光标定位、翻页0   将光标定位在行首$   定位到行尾w   向后跳一个单词,相当于ctrl+→b   向前跳一个单词,相当于ctrl+←20G   定位到第20行G   定位到最后一行gg   定位到第一行ctrl+f  front,向前翻一页ctrl+b  back,向后翻一页撤销、删除u

2021-07-21 18:50:31 4271 1

原创 Linux:20个linux常用命令

文章目录20个linux常用命令1. ls:列出文件list2. cd:切换目录change directory3. cp:复制copy4. mv:移动move5. rm:移除,删除remove6. mkdir:创建文件夹make directory7. rmdir:移除,删除文件夹remove directory8. chown:更改所有者change owner9. chmod:更改文件的权限模式change mode10. find:查找11. |:管道12. grep:按行查找并匹配13. tar:

2021-07-21 17:59:26 139642 7

原创 数字IC验证:几大功能验证(Functional Verification)技术有哪些?

文章目录功能验证的目的五大验证技术1 静态验证 (Static Verification)2 功能仿真 (Functional Simulation)3 FPGA原型验证 (FPGA Prototyping)4 硬件仿真 (Emulation)5 UVM通用验证方法学 (Universal Verification Methodology)总结写在前面:最近在实习中学习数字验证,每天学习的内容会整理记录下来。首先是对功能验证的理解。本文参考资料为维基百科-Functional Verification词

2021-07-21 15:58:10 7620

原创 数字信号处理:MATLAB实验代码整理

写在前面:本文中所有的matlab代码已整理,见下载资源“DSP_matlab.zip”。数字信号处理之MATLAB实验代码整理1 常用的离散时间信号(DSP1_DiscreteTimeSignals.m)1)单位样本序列2)单位阶跃序列3)实指数序列4)复指数序列5)正弦序列6)随机序列2 序列运算(DSP2_SequenceOperation.m)1)信号相加2)信号相乘3)信号加权4)信号...

2021-07-14 01:40:27 8500 3

原创 通信原理:课程学习笔记3之确知信号和随机过程

写在前面:本文源自笔者在大三时对北师大-人工智能学院-郭俊奇老师的“通信原理”课程的部分归纳与整理笔记。此处感谢郭俊奇老师!如发现笔者整理有误之处请在评论区多多指正!确知信号和随机过程1 确知信号2 随机过程本章要求:理解能量信号、功率信号的频域及时域性质,熟记相关公式;掌握随机过程的概念及其相关数学知识。1 确知信号1) 确知信号的类型① 按周期性划分:周期信号和非周期信号② 按能量...

2021-07-14 01:37:06 2033

原创 数字逻辑:重要基础知识点整理(不定时更新)

写在前面:最近发现之前学的好多东西都一知半解,一方面是时间久了,另一方面也是没有定期回顾。因此写下这篇博客来监督自己查缺补漏、时常温习一些数字逻辑的基础知识。之后也会写一些其他方面的知识。若内容有任何不对或存疑处,请务必在评论区指出,纠正我的知识误区,也避免误导阅读这篇博客的网友。非常感谢!1 二进制的符号位、原码、反码、补码、位扩展1.1 有符号数signed及其符号位S、无符号数unsigned有符号数signed才有符号位S(S=0表示正数,S=1表示负数),N bit有符号整数的二进制编码.

2021-07-14 01:24:57 3469

原创 Debug for Linux: 远程连接时部分程序打不开No protocol specified, unable to init server

写在前面:最近居家学习与办公,远程连接学校的ubuntu,但是遇到许多系统程序(如系统监视器等)打不开的问题,本文用于记录解决方案,希望对大家有帮助。以系统监视器为例,一开始我在桌面鼠标点击打开:Application -> System -> System monitor,但是点击之后没有任何反应。于是我在终端输入命令行尝试打开系统监视器:$ gnome-system-monitor但是报错:No protocol specifiedUnable to init serve.

2021-06-03 00:35:26 2197

原创 教程:纯小白如何搭建自己的Github博客并写第一篇博文

写在前面:最近完成了我的github博客,搭建的过程很简单,我也是照着网上的教程学习的。但由于之前我没接触过博客搭建,搭建完之后如何写文章并发布花了很长时间才搞定。而网上的教程也几乎停留在搭建博客结束,因此我决定把自己的学习成果记录下来,希望对大家有帮助。1 搭建Github博客这一步我不想赘述,请大家移步看 知乎用户@会飞的猪 写的这篇文章(https://zhuanlan.zhihu.com/p/28321740),过程写得非常清晰,我就是按照这篇文章完成了第一步博客的搭建。在此感谢 知乎用户@会飞

2021-05-31 00:44:14 5328 3

ISSCC 2024-2020年期间的论文合集整理excel(包含pdf网址)

包含各个Session的论文标题Document Title,摘要Abstract,pdf link

2024-08-16

UVM实战笔记 - Ch2 一个简单的UVM验证平台(下)对应代码及注释

代码在书里有,其中注释是我自己加的,对应博文里的讲解。

2021-08-05

UVM实战笔记 - Ch2 一个简单的UVM验证平台(中)对应代码及注释

代码在书里有,其中注释是我自己加的,对应博文里的讲解。

2021-08-05

UVM实战笔记 - Ch2 一个简单的UVM验证平台(上)对应代码及注释

代码在书里有,其中注释是我自己加的,对应博文里的讲解。

2021-08-05

数字信号处理_知识点整理.pdf

本资源对应的内容可以见笔者的博客文章“数字信号处理:重要知识点整理”。 来源于笔者对北师大人工智能学院的数字信号处理课程的知识点整理。

2020-04-30

数字信号处理_代码整理.pdf

本资源对应文章为“数字信号处理:MATLAB实验代码整理”。 内容为数字信号处理的常用实验以及对应的MATLAB代码,包括常用信号的表示与基本运算、傅里叶级数与傅里叶变换、Z变换、LTI系统的频域分析、简单滤波器设计、FIR和IIR数字滤波器设计、信号的采样与重建、离散傅里叶变换DFT的计算、加窗对频谱的影响、数字滤波器结构的相关计算与表示等。

2020-04-30

DSP_matlab(数字信号处理的常用实验代码).zip

本资源为数字信号处理的常用实验的MATLAB代码,包括常用信号的表示与基本运算、傅里叶级数与傅里叶变换、Z变换、LTI系统的频域分析、简单滤波器设计、FIR和IIR数字滤波器设计、信号的采样与重建、离散傅里叶变换DFT的计算、加窗对频谱的影响、数字滤波器结构的相关计算与表示等。 对应文章为“数字信号处理:MATLAB实验代码整理”。

2020-04-30

SteamVR插件包

压缩包是SteamVR Plugin.unitypackage,是unity3d中SteamVR的插件,发上来和有需要的朋友们一起分享。

2018-01-24

Matlab2016a破解文件

这是matlab2016a的破解文件,matlab2016a的安装包也在我的资源里。安装及破解教程可自行百度。

2018-01-24

c++作业时钟界面

c++作业之时钟界面,包含一个计时结束的小彩蛋。自定义起始时间与计时时长,可以修改成系统时间。

2017-10-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除