【转】No objects found matching '/t/*'

用Modelsim软件进行RTL仿真时,出现No objects found matching '/t/*',网上找了很多答案,都没解决问题。终于老天不弃,让我在接近崩溃时看到希望。

应该是优化的问题,
把# vsim work.test 
改为
# vsim work.test   -novopt
就行了,哈哈哈哈。

 

2011.03.04今天再次遇到这个问题,用了上面的方法竟然不行了,所以又去百度了下,用下面的方法解决。哈哈哈哈。。。。

我用的modelsim se plus 6.2b里也遇到这个问题,但是用设置modelsim.ini 中的VoptFlow = 0的方法不好用,我就用了下面的方法,没想到问题解决了。也许对别人有帮助,就写在这儿吧。
点击工具栏中的“simulate”按钮,或用菜单中的命令“simualte->start simulation”调出start smulation窗口,关键是把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。


  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值