利用vivado TCL工具构建AD9361官方驱动 - HDL部分

概要

AD9361驱动已经普遍存在了,网上学习资料也非常全面,ad9361驱动版本有好几个,有纯逻辑驱动的,也有用ZYNQ 中的ram进行SPI配置驱动的,但不管什么版本的驱动程序,大多都参考或借鉴了官方驱动例程。本文详细描述了官方例程中HDL部分程序构建过程,诣在为后来学习或工作需要的同志提供一点帮助。
众所周知,vivado工程占用大小通常是几十兆到几个G不等,而且文件数量繁多,故vivado工程管理是一个严峻的问题。而ADI使用了make工具进行管理,如果使用make工具进行ad9361工程构建,则需要在Linux环境下安装vivado和SDK进行构建,或者在Windows环境下安装make环境,不管是那种方式搭建环境都是一个繁琐的事情。
因而,本文是仅仅使用vivado tcl工具进行工程构建,省去了环境搭建过程,相应地使用TCL构建vivado工程步骤会多一些。

工具版本

vivado 2019.1

需要文件

在下载ADI官网源文件,由于是存放在github上的,如果打不开,访问需要一些技巧
https://github.com/analogdevicesinc/hdl/releases
此处需要注意的是本文使用的是vivado 2019.1,故需要下载对应的源码
在这里插入图片描述

实现细节

1、解压hdl-2019_r2.zip文件
2、本文构建fmcomms2+zed工程,故进入…\hdl-2019_r2\projects\fmcomms2\zed文件夹
3、打开Makefile文件,查看需要使用到的IP
在这里插入图片描述
4、进入…\hdl-2019_r2\library文件夹,找到以上IP文件,分别进入对应文件夹,比如…\hdl-2019_r2\library\axi_ad9361
5、打开TCL工具
在这里插入图片描述
6、进入该文件夹并执行source axi_ad9361_ip.tcl命令在这里插入图片描述
7、以此类推,需要在上述各个文件中执行source命令
8、在完成上述过IP构建之后,还需要进入util_axis_fifo、util_cdc文件额外构建该IP,否则后续构建工程会报错
9、到此,AD9361工程所需要的IP就构建完毕,接下来进行工程构建,打开vivado工具
10、在TCL控制台处执行以下命令,改变工作路径
在这里插入图片描述
11、紧接着执行source ./system_project.tcl命令
12、在经过漫长的等待之后,就会得到一个已经完成综合、实现的vivado工程
在这里插入图片描述

总结

利用vivado TCL直接构建官方例程实现简单,如果使用非官方的硬件板子,只需要修改约束就重新run一次就行了。至此,官方驱动HDL部分程序构建完毕,完整驱动需要ZYNQ的PS端配合,移植对应的no-os或者Linux部分代码即可。
2024.08.12

  • 27
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: AD9361 是一种高性能 RF 传输器和接收器,具有非常广泛的应用领域,比如无线通信,互联网,自动驾驶,医疗等等。Vivado 是赛灵思公司开发的一种综合设计环境,支持 VHDL,Verilog,以及高级的 SystemC 和 C++ 等等。通过 Vivado,用户可以快速、高效地进行芯片设计和可编程逻辑的开发ad9361 vivado 的结合,使得用户可以更方便地使用 AD9361 进行无线系统的开发AD9361 器件原始的代码较为庞大复杂,以前需要手工编写各种驱动程序,操作难度较大。而通过 Vivado 的支持,AD9361 的代码可以更快速和精确地编写,减少开发时间和错误发生率。同时,Vivado 的高效性能使得后续对系统进行验证和调试也更加方便。 除此之外,ad9361 vivado 还支持一些高级功能,比如时钟锁相环调整,信号调制和解调等等,极大地提高了用户的开发效率和准确性。在实际应用中,ad9361 vivado 也被广泛应用在无线通信系统的开发中,为用户创造了更多的可能性和机会。 ### 回答2: AD9361是一款由美国ADI公司设计出来的高性能单芯片收发器,可以实现高速数据传输和高保真无线通信,广泛应用于无线通信系统、合理利用无线频谱等领域。而Vivado是Xilinx公司的一款综合性设计工具,包括IP集成、设计调试、仿真、综合等功能,非常适合用于FPGA的设计。 在实际应用中,若要使用AD9361完成无线电传输,就需要进行芯片的配置,并将外部电路与之连接。而基于Vivado设计平台,可以将AD9361芯片进行IP核配置,从而实现快速构建FPGA的设计过程。通过Vivado的IP设计流程,可以实现对外部电路的代码生成,快速搭建完整的系统,大大节省平台的开发周期和工作量。 首先,需要下载安装所需要的IP核库,具体操作如下:打开Vivado工具,找到菜单栏中的“Tools-Add Repository”选项,选择需要的IP核库进行下载。然后在设计平台中,进行IP核的配置工作,包括时钟与时序的设计、接口设置等。之后,将IP核与AD9361芯片进行对接,实现数据的传输与化装。 在整个设计过程中,需要注意如下几个方面:首先是设计过程中需要根据具体应用场景进行配置,例如最大数据传输率、硬件资源占用等参数设置;其次是需要进行系统调试,确保各个模块的正常工作;最后是需要进行综合及实现,生成FPGA开发板的最终二进制数据文件并上传入硬件平台中,最终进行测试验证。通过Vivado完成对AD9361芯片的配置和应用,可以实现快速开发,高效定制、降低系统开发成本等优点。 ### 回答3: ad9361是一款数字信号处理芯片,常用于软件定义无线电中。vivado是赛灵思公司开发的一款综合维护工具,可以对FPGA进行综合、实现和调试等操作。而ad9361 vivado则是指在使用ad9361芯片进行软件定义无线电设计时,在vivado中对其进行设置、配置和调试等操作。 ad9361具有广泛的应用,例如基带处理、RF传输和接收等。与传统通用芯片不同,它允许用户灵活地配置和处理各种信号,在很多软件定义无线电系统中发挥着重要作用。而vivado作为综合维护工具,则可以帮助开发者更方便地对FPGA进行综合、实现和调试等操作。 在软件定义无线电的设计中,使用ad9361 vivado可以快速配置ad9361芯片中的寄存器和参数,调试系统性能,并进行一些优化。此外,vivado还提供了一些高级特性,如使用片上时钟管理器(PLL)来动态调整时钟频率,从而使无线电系统操作更稳定;利用数据转换器(ADC)实现更高精度的数据采集和信号处理等。 总之,ad9361vivado,具有互补优势,一起使用可以极大地提高开发效率和设计质量,促进软件定义无线电等领域的发展。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值