自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(37)
  • 资源 (19)
  • 收藏
  • 关注

原创 免费好用的IPv6之远程管理路由器-OpenWrt上uhttpd的TLS(HTTPS)部署流程介绍

介绍OpenWrt下uhttpd获取并部署有效的https证书的过程

2023-01-30 23:39:40 7353 1

原创 谈谈IPv6

IPv6正在逐渐成为主流的互联网协议,也许你对IPv6仍有不解与偏见,让我们来谈谈IPv6吧

2022-10-30 15:24:59 7534 1

原创 硬件探索——数字钟的设计与制作

一、实验目的:设计一个有60进制和24进制计数功能,能校正时间并通过译码器及七段数码管显示的时钟电路。功能要求:在连续脉冲的触发下,秒、分为60进制计数,时为24进制计数,并且要求有译码、显示、校时。二、设计步骤1)使用的芯片2-5-10进制计数器(74LS90),画出引脚图、功能表。74LS90管脚图74LS90功能表 输入 输出 功能 清0 置9 时钟..

2022-05-24 13:55:03 5802 2

原创 硬件探索——模拟乘法器的综合应用设计实验

一、实验目的、意义1.了解模拟乘法器(MC1496)的电路组成结构与工作原理。 2.掌握利用乘法器实现振幅调制、同步检波、倍频与混频等几种频率变换电路的原理及设计方法。 3.学会综合地、系统地应用已学到模、数字电路与高频电子线路技术的知识,掌握对振幅调制、同步检波、混频和倍频电路的制作与仿真技术,提高独立设计高频单元电路和解决问题的能力。二、设计任务与要求(1)设计任务用模拟乘法器实现振幅调制(含AM与DSB)、同步检波、混频、倍频等频率变换电路的设计,已知:模拟乘法器为1496,采用双电

2022-05-21 22:43:27 17378 4

原创 ZigBee——在CC2530的ZStack中添加定时任务

在使用CC2530芯片进行ZigBee协议的开发时,我们往往使用TI公司提供的带有ZigBee协议栈的ZStack工程,接下来以其中的SampleApp为例,向其中添加自己需要的定时任务并设置周期和处理流程。首先,我们以SampleApp中的默认定时任务SAMPLEAPP_SEND_PERIODIC_MSG_EVT作为参考,通过IAR软件找到其在SampleApp.h头文件中被定义,并在SampleApp.c中多次被使用,由于该文件就是用户程序运行的主要区域,因此着重分析其在这两个文件中的分

2022-04-27 16:55:27 1338 1

原创 ZigBee——使用CC2530的定时器生成指定的PWM波

由于在使用zigbee网络时往往有对电机、灯具等执行器进行控制的需要,而出于成本和简化系统的需求,我们又往往希望在CC2530上实现相关的控制及ZigBee的组网工作,使用CC2530芯片实现PWM输出则是一项重要的控制能力。首先,需要选定输出PWM的IO口,查阅CC2530的数据手册关于GPIO与外设的映射关系如下:为了方便程序编写,选择TIMER1作为PWM的定时器,选择其复用位置1作为PWM比较输出的位置。注意到TIMER1与USART0存在默认位置的冲突,故这里仅选用TIMER1的2

2022-04-25 21:45:52 2179

原创 云计算实验——Hadoop安装与HDFS基础实践

实验目的(1)掌握Hadoop的伪分布式安装方法;(2)理解HDFS在Hadoop体系结构中的角色;(3)熟练使用HDFS操作常用的Shell命令;(4)熟悉HDFS操作常用的Java API。实验环境操作系统:Linux(Ubuntu 18.04 LTS);Hadoop版本:Hadoop 3.1.3;JDK版本:1.8及11;Java IDE:VSCode。实验内容与完成情况1、安装Linux系统(Ubuntu 18.04 LTS)2、创建hadoo

2021-08-20 16:13:50 1961

原创 云计算实验——OpenStack的安装与使用

实验目的(1)掌握Linux虚拟机的安装方法;(2)掌握OpenStack的单机安装方法;(3)熟悉OpenStack的核心组件。实验环境Windows10 20H2/VirtualBox 6.1.18 r142142/Ubuntu 18.04 LTS/OpenStack W实验内容与完成情况1、下载安装虚拟机软件VirtualBox2、安装Ubuntu 18.04 LTS虚拟机安装VirtualBox的增强功能,开启了双向文件拖放与剪贴板同步..

2021-08-20 15:22:18 3667

原创 硬件探索——STM32F4通过SPI总线读取GMR(磁编码器)

使用STM32F407VET6,通过SPI串行总线读取GMR(磁编码器)的相关数据(速度、角度绝对值等)使用了PC10(SCK)、PC11(MISO)、PC12(MOSI)、PA15(CS)四个GPIO,将其与编码器对应连接程序中使用了延时函数,需要包含系统滴答定时器延时函数使用的头文件delay.h头文件spi.h#ifndef __SPI_H__#define __SPI_H__#include "sys.h"#define SPI3_PORT GPIO

2021-07-30 18:08:34 3024 5

原创 硬件探索——STM32F4控制74HC595数码管

使用STM32F407VET6,通过串行方式驱动74HC595控制的4位数码管使用了PD0(DIO)、PD1(RCLK)、PD2(SCLK)三个GPIO,将其与数码管模块对应连接程序中使用了延时函数,需要包含系统滴答定时器延时函数使用的头文件delay.h头文件smg.h#ifndef __74HC595_H__#define __74HC595_H__#include "sys.h"#define DIGIT_NUM 4/* SMG时钟端口、引脚定义 */#define

2021-07-28 16:05:21 2880 1

原创 VC++——一档多视

首先,打开visual studio 2019,新建MFC多文档工程如下

2021-06-18 14:20:20 944 4

原创 硬件探索——常用软件Keil的小技巧

在嵌入式硬件开发中,ARM占据了高性能MCU的绝对主导地位,而常用的开发软件有IAR、Keil以及其它由硬件厂商研发的IDE,这其中,最常用的非Keil莫属了。本文意在总结一些Keil使用的小Tips,供备忘,也供交流学习。基于的Keil版本如下第一个问题,背景颜色配置:目前使用的配色如下该配色方案可在keil安装文件夹/UV4/global.prop文件中找到配色配置如下# Specification for text selection and caret lin.

2021-03-28 10:35:59 527 1

原创 硬件探索——2FSK通信系统调制解调综合实验电路设计

一、实验目的通过2FSK通信系统综合设计实验,加强对2FSK调制器与解调器通信技术电路理解,学会查寻资料、方案比较,以及设计计算环节。学会对所学基本理论知识的综合运用;进一步提高分析解决实际问题的能力,创造一个动脑动手、独立开展电路实验的机会,锻炼分析、解决通信技术电路问题的本领,真正实现由课本知识向实际能力的转化;通过典型电路的设计与制作,初步体验从事通信产品研发的过程;增强学生的实际能力;掌握使用Multisim软件的操作方法。二、设计内容与要求根据2FSK调制器与解调器的组成原理,设计出整

2021-01-18 13:20:39 15345 8

原创 海南移动IPTV——使用的小Tips

最近更换了电视并安装了海南移动的IPTV盒子,抛弃了广电的机顶盒,免费的IPTV体验尚可,使用几周之后和大家分享一下感受。一、接入方式由于家里装修时的布线问题,许多人对IPTV的接入方式十分在意,目前普遍采用的方式有以下几种1、IGMP内网组播与IPoE该接入方式的直播源与回看、点播源采用不同的网络协议,直播使用IGMP组播方式获取节目流,回看、点播使用单播方式(类似爱奇艺、优酷等网站),通常需要将机顶盒插在光猫的指定端口(部分光猫支持修改这个指定的LAN接口)。特点:电脑插上IPTV接

2020-08-21 22:04:39 11105 1

原创 硬件探索——在Multisim中搭建AM(调幅)与检波电路

实验目的 1.掌握利用乘法器实现抑制载波(双边带)调幅的基本原理和电路的结构特点。 2.掌握利用乘法器实现普通调幅(全载波调幅)的基本原理和电路的结构特点。 3.了解乘法器的基本原理和基本使用方法。 4.掌握二极管包迹检波适用于何种调幅信号的解调。 5.掌握二极管包迹检波电路的设计方法。 6.了解二极管包迹检波电路完成解调幅时可能出现的信号失真。

2020-07-18 15:25:05 30793 16

原创 硬件探索——基于触发器的八位抢答器设计与制作

任务:用D触发器和门电路设计出8位抢答器,功能上应该满足以下四点 a、具有8位抢答者各自使用的开关及相应的灯光显示; b、用连续脉冲触发; c、第一位抢答者按开关(其对应的灯亮)的同时,电路将阻止后面抢答者功能的正常实现,抢到的人不可反悔; d、裁判可通过开关控制抢答器的使用。一种仿真电路如下所示1、Control端为主持人控制开关,U3~U10为8位参赛选手的抢答开关2、Control端接到两片74HC175的清零端,当Control端为低电...

2020-05-28 23:14:48 2715 2

原创 硬件探索——D触发器74LS74在Multisim中搭建四分频电路

任务:请用74LS74构成一个4分频器,即输出信号的频率为输入信号频率的四分之一一种仿真电路如下所示该电路仿真波形如下图所示仿真文件已放置于资源中,无需CB即可下载

2020-05-28 22:06:22 22094 4

原创 Openwrt——带宽统计助手nlbwmon

使用Openwrt后,我们自然希望它拥有更多的高级功能,这其中就包括对于数据流量的统计。我们知道Openwrt基于Linux系统,而Linux系统自带了简易的带宽及流量统计功能,但该功能十分“简陋”,保存的数据在系统重启之后便丢失了,并且也没有针对设备、日期、类型的归类统计功能,为此,我们需要使用第三方的工具——nlbwmon。本文章针对编译时已经安装了nlbwmon的斐讯K3路由器,其它设备可通过opkg自行安装尝试。如图所示...

2020-05-11 11:33:47 31868 4

原创 PTA习题6-3 使用函数输出指定范围内的完数-C语言试解

本题要求实现一个计算整数因子和的简单函数,并利用其实现另一个函数,输出两正整数m和n(0<m≤n≤10000)之间的所有完数。所谓完数就是该数恰好等于除自身外的因子之和。例如:6=1+2+3,其中1、2、3为6的因子。函数接口定义:int factorsum( int number );void PrintPN( int m, int n );其中函数factorsum须返...

2020-03-13 19:31:27 1838

原创 免费好用的IPv6之一个有趣的需求——浅谈Openwrt的VLAN

前言:近来,升级了宽带至500M,发现原来的路由器(K3)网速不太达标,辗转查询、多次测试之后发现带宽的瓶颈在于路由器上的固件只能支持软件NAT,无法发挥硬件加速功能,故打算让光猫加速NAT,路由器作为辅助,安排一些远程访问之类的高级功能想法是好的,可是实际操作起来却又不是一帆风顺,在网上查找诸多资料,逛遍几大论坛之后发现,原来K3的官方固件、官改固件都是能够支持硬件NAT加速功能的,至于速度...

2020-02-27 14:00:46 3959 4

原创 kaohe

交流电路等效参数的测定预考核题目 试题1、实验中功率表测量的功率是视在功率。 A:正确; B:错误; 试题2、判别电路阻抗性质时,对串联或并联的电容大小没有要求。 A:正确; B:错误; 试题3、本实验要求先将电源调节置零,关闭电源,再连接电路,电路检查正确后,再将电源电压调为需要值。 A:正确; ...

2019-10-20 19:24:30 4122 1

原创 mklink命令——目录的“快捷方式”

相信各位对于快捷方式都已十分熟悉,它是指向一个文件(夹)的“路标”或“指针”,通过它,我们可以间接地访问到所需要的文件(夹)。而对于Windows下的mklink命令则是一种更为高级的“快捷方式”,其由系统在文件资源管理器的底层进行操作,一般除去系统之外,其他应用程序无法知晓这其实是一个“快捷方式”,无论是文件大小、所在位置都可以假乱真,只有Windows以及一些越过操作系统直接访问文件的应用...

2019-10-03 19:23:08 2646 2

原创 免费好用的IPv6之远程管理路由器-OpenWrt上uhttpd的使用介绍

本文介绍了OpenWrt上的uhttpd程序在IPv6网络下的配置方法,针对IPv6下无法正常访问,但IPv4下却可以访问的问题,通过修改默认的配置文件,对其进行了解决

2019-07-13 18:20:16 13153

原创 免费好用的IPv6之DDNS服务-Openwrt上dynv6的使用介绍

概述:本文主要介绍了dynv6在OpenWrt上的配置及使用,对于有IPv6地址的用户,可以按照本文自行探索更多玩法过去,在IPv4尚有公网地址的时代,我们的路由器之中常常会自带Oray的DDNS服务,这样可以在公网环境下访问路由器,利用端口转发或者DMZ主机的方式我们还可以访问其中的内网资源,这给我们带来了很多方便,而到了IPv6时代,每个人家中都可以分配到可以被全局路由的PD前缀或唯一的I...

2019-07-13 16:45:14 76737 16

原创 PTA基础编程题目集-7-28 猴子选大王-C语言试解

惯例,先来看题目:一群猴子要选新猴王。新猴王的选择方法是:让N只候选猴子围成一圈,从某位置起顺序编号为1~N号。从第1号开始报数,每轮从1报到3,凡报到3的猴子即退出圈子,接着又从紧邻的下一只猴子开始同样的报数。如此不断循环,最后剩下的一只猴子就选为猴王。请问是原来第几号猴子当选猴王?输入格式:输入在一行中给一个正整数N(≤1000)。输出格式:在一行中输出当选猴王的编号。...

2019-04-28 08:55:43 1447

原创 PTA基础编程题目集-7-38 数列求和-加强版-C语言试解

题目如下:给定某数字A(1≤A≤9)以及非负整数N(0≤N≤100000),求数列之和S=A+AA+AAA+⋯+AA⋯A(N个A)。例如A=1,N=3时,S=1+11+111=123。输入格式:输入数字A与非负整数N。输出格式:输出其N项数列之和S的值。输入样例:1 3输出样例:123这又是一道进位构造题,关键的十进制进位构造方面与另一道题:阶乘计算...

2019-04-13 14:50:15 2784

原创 PTA-团体程序设计天梯赛-练习集-L1-048-矩阵乘法-C语言试解

题目:给定两个矩阵A和B,要求你计算它们的乘积矩阵AB。需要注意的是,只有规模匹配的矩阵才可以相乘。即若A有R​a​​行、C​a​​列,B有R​b​​行、C​b​​列,则只有C​a​​与R​b​​相等时,两个矩阵才能相乘。输入格式:输入先后给出两个矩阵A和B。对于每个矩阵,首先在一行中给出其行数R和列数C,随后R行,每行给出C个整数,以1个空格分隔,且行首尾没有多余的空格。输入保证两个...

2019-03-04 16:53:47 420

原创 OpenWrt——进行PPPoE拨号时透过路由器访问光猫的方法

概述:本文介绍了通过在OpenWrt系统与Luci界面下添加虚拟接口,以实现访问PPPoE拨号后的光猫的方法众所周知,OpenWrt是一款流行的路由器系统,市面上大部分高性能家用路由器都或多或少地使用了这一Linux内核的系统。由于其开源的特性,OpenWrt支持各种自定义功能与高级操作,能够最大程度释放路由器的功能潜力。背景介绍:最近更换了宽带接入方式,由FTTB变为FTTH,增加了...

2019-01-28 09:57:46 34431 7

原创 安装使用VS2019/2017调试C语言的几点注意事项

Visual Studio是微软公司出品的一款功能强大、全面的IDE和代码管理工具然而,一开始使用它来编写C语言文件时,却遇到了一些问题,在解决这些问题之后,在此总结分享一些经验。1.安装VS2019/2017官网:https://visualstudio.microsoft.com/zh-hans/对于一般的开发者、学生,只需下载免费的community版本即可,下载的安装包...

2019-01-05 22:27:11 16927 7

原创 一道比较简单的题——PTA基础编程题目集 7-29 删除字符串中的子串 C语言试解

首先看题目输入2个字符串S1和S2,要求删除字符串S1中出现的所有子串S2,即结果字符串中不能包含S2。输入格式:输入在2行中分别给出不超过80个字符长度的、以回车结束的2个非空字符串,对应S1和S2。输出格式:在一行中输出删除字符串S1中出现的所有子串S2后的结果字符串。输入样例:Tomcat is a male ccatatcat输出样例:(注意"male...

2019-01-05 14:02:35 1781

原创 PTA 实验课题目C语言试解 6-3 弹球距离 实验7-3

设有一个球从高度为h米的地方落下,碰到地面后又弹到高度为原来p倍的位置,然后又落下,再弹起,再落下…。请编写函数求初始高度为h的球下落后到基本停下来(高度小于给定阈值TOL)时在空中所经过的路程总和。函数接口定义:double dist( double h, double p );其中h是球的初始高度,p是球弹起高度与弹起前落下高度的比值;函数dist要返回球下落后到基本停下来时在...

2019-01-04 20:20:38 7101 2

原创 PTA 理论课题目C语言试解 7-6 点赞 理论4-6

微博上有个“点赞”功能,你可以为你喜欢的博文点个赞表示支持。每篇博文都有一些刻画其特性的标签,而你点赞的博文的类型,也间接刻画了你的特性。本题就要求你写个程序,通过统计一个人点赞的纪录,分析这个人的特性。输入格式:输入在第一行给出一个正整数N(≤1000),是该用户点赞的博文数量。随后N行,每行给出一篇被其点赞的博文的特性描述,格式为“KF​1​​⋯F​K​​”,其中1≤K≤10,F​...

2019-01-04 20:17:51 1299

原创 PTA 理论课题目C语言试解 7-11 方阵循环右移 理论4-11

本题要求编写程序,将给定n×n方阵中的每个元素循环向右移m个位置,即将第0、1、⋯、n−1列变换为第n−m、n−m+1、⋯、n−1、0、1、⋯、n−m−1列。输入格式:输入第一行给出两个正整数m和n(1≤n≤6)。接下来一共n行,每行n个整数,表示一个n阶的方阵。输出格式:按照输入格式输出移动后的方阵:即输出n行,每行n个整数,每个整数后输出一个空格。输入样例:2 31...

2019-01-04 20:15:20 1363 3

原创 PTA 理论课题目C语言试解 7-9 判断上三角矩阵 理论4-9

上三角矩阵指主对角线以下的元素都为0的矩阵;主对角线为从矩阵的左上角至右下角的连线。本题要求编写程序,判断一个给定的方阵是否上三角矩阵。输入格式:输入第一行给出一个正整数T,为待测矩阵的个数。接下来给出T个矩阵的信息:每个矩阵信息的第一行给出一个不超过10的正整数n。随后n行,每行给出n个整数,其间以空格分隔。输出格式:每个矩阵的判断结果占一行。如果输入的矩阵是上三角矩阵,输出...

2019-01-04 20:13:16 3674

原创 PTA 理论课题目C语言试解 7-4 评委打分 理论4-4

班级里要搞智力竞赛啦!同学们都踊跃参加。进入最后决赛的是10个同学,随着一道道题目的出示,有时是1号选手得分,有时是5号选手得分,每次答对者得10分,最后结果如何呢?输入格式:第一行有十个整数,表示十位同学的初始分。第二行一个整数n,表示有n道题竞赛。 接下去有n行,每行有一个数字x,x表示本次可以加分的选手序号(每次答对者得10分)。输出格式:10个同学最终的得分值,每两位同学之...

2019-01-04 20:10:39 10772 5

原创 C语言试解PTA上基础题目集7-36 复数四则运算

本题要求编写程序,计算2个复数的和、差、积、商。输入格式:输入在一行中按照a1 b1 a2 b2的格式给出2个复数C1=a1+b1i和C2=a2+b2i的实部和虚部。题目保证C2不为0。输出格式:分别在4行中按照(a1+b1i) 运算符 (a2+b2i) = 结果的格式顺序输出2个复数的和、差、积、商,数字精确到小数点后1位。如果结果的实部或者虚部为0,则不输出。如果结果...

2019-01-04 16:06:01 3269 4

原创 C语言试解PTA上基础题目集6-10 阶乘计算升级版

本题要求实现一个打印非负整数阶乘的函数。函数接口定义:void Print_Factorial ( const int N );其中N是用户传入的参数,其值不超过1000。如果N是非负整数,则该函数必须在一行中打印出N!的值,否则打印“Invalid input”。裁判测试程序样例:#include <stdio.h>void Print_Facto...

2019-01-03 15:22:11 632

gpac-2.0-rev0-g418db414-master-x64.exe

该资源即MP4Box,与知名的FFmpeg类似,可以实现多种视频编辑功能。 一个跨平台的多媒体框架,开发人员可以使用它在 LGPL 许可下制作开源媒体。它兼容范围广泛的流行文件类型,从常见格式(如AVI、MPEG和MOV)到复杂格式。

2022-08-27

DAS_V7.3.7安装包

用于连接英飞凌TriCore系列MCU下载器的驱动程序软件DAS64,随ADS V1.6.0一同发布,可建立本地或远程UDS服务器,用于ADS软件连接并调试、下载程序

2022-06-14

英飞凌AURIX Development Studio V1.6.0

英飞凌AURIX Development Studio,可用于开发英飞凌的TriCore-based AURIX microcontroller family的MCU,该版本下载于英飞凌官方网站https://softwaretools.infineon.com/tools/com.ifx.tb.tool.aurixide,版本为1.6.0_20220329-1249

2022-06-14

paddlex_gui_win10_v1.1.7.exe

百度飞浆(paddlex)GUI控制台

2021-01-18

key_gen_v1.2.bin

K210的机器码生成固件,来自https://en.bbs.sipeed.com/uploads/default/original/1X/bca0832bed92a1ada63bd05327688784e2ef14d1.zip

2020-10-17

基于触发器的八位抢答器设计与制作

用D触发器和门电路设计出8位抢答器,功能上应该满足以下四点    a、具有8位抢答者各自使用的开关及相应的灯光显示;    b、用连续脉冲触发;    c、第一位抢答者按开关(其对应的灯亮)的同时,电路将阻止后面抢答者功能的正常实现,抢到的人不可反悔;    d、裁判可通过开关控制抢答器的使用。

2020-05-29

D触发器(74LS74)构成一个4分频器

用74LS74构成一个4分频器,即输出信号的频率为输入信号频率的四分之一,该文件为Multisim14仿真文件,测试可用

2020-05-28

nlbwmon流量统计程序的Luci界面需要的包含设备信息的json文件oui.json

nlbwmon流量统计程序的Luci界面需要的包含设备信息的json文件。 注意:本文件可能不是最新的,源文件见https://raw.githubusercontent.com/jow-/oui-database/master/oui.json

2020-05-11

KeyManager-Setup-3.9.28.exe

TrustAsia官方密钥证书管理软件,附带数字签名,确保合法性,用于管理SSL证书、公钥、私钥,适合RSA、DEC等加密

2020-04-29

PdgCntEditor.exe

PDF目录编辑软件PdgCntEditor,支持自动缩进分级、目录缩放,Unicode编码等特性,配合acrobat完美制作PDF目录

2020-04-29

CAJViewerPortable.zip

CAJViewerPortable,便携式免安装CAJViewer,支持查看DRM加密的caj、pdf,无限制打印图片

2020-04-29

2013测评.ms14

2013电赛综合测评题目Multisim14软件仿真,原创设计、实测没问题,正弦波最后有一点点小小的问题,不影响使用

2020-04-29

Matlab_R2019a_Win64_Crack.rar

Matlab_R2019a的Crack文件 2.下载之后出现PolySpace而没有matlab怎么办? 根本不用管这个东西,同时忽略掉关于PolySpace这个文件夹的存在。正确的办法是找到软件下载位置,找到和polyspace同样位置的bin和licenses文件夹,所有操作都应该在这两个文件夹中进行。 第一,把 license_server.lic放到licenses文件夹里面,licenses里面应该还有其他lic文件,不用管。 第二,把“Matlab_R2019a_Win64_Crack\R2019a\bin\win64”里面的“netapi32.dll”复制到polyspace同样位置的bin文件夹的win64里面,即“...\bin\win64”。其中,应该注意的是bin\win64里面有一个activate_matlab.exe文件,点击这个exe进行验证。进入之后把自己刚copy的lic文件用到。之后成功。 第三,不要点击运行polyspace,只会出类似error-8的错。应该找到安装软件的bin文件里面找到matlab.exe,运行之后会闪过一个黑框,至后会出现蓝色界面。等三到五分钟就会出现matlab操作界面了。 3.点击polyspace之后进入的验证界面一直出错? 根本不用点这个东西。按照2里面的步骤进行就可以了,或者先试试bin里面的matlab.exe能不能直接出了操作界面。 ps.我用的是readme.text里面的floating license第二个的31095..那个数所以用的是license_server.lic,如果是第一个就相同操作不同文件就可以了。

2020-04-07

WHUT_WUT_校标

武汉理工大学校徽、校名矢量图,使用AI打开,可导出任意分辨率图片

2019-10-29

madVR视频渲染器

madVR是一款高品质的DirectShow视频渲染器,被全球数百万家庭影院爱好者使用。可配合Potplayer使用, 使用方法参见:https://www.hao4k.cn/thread-26476-1-1.html http://madshi.net/madVR.zip

2019-05-01

网络电视地址测试程序1.0.6

网络电视地址测试程序1.0.6 使用步骤: 0.此文件为最新版程序,无需单独下载解码器 1.配置参数: playerpath ,测试播放器的路径,一般设置为TVTestPlayer.exe无需改变 outputpath ,测试结果输出路径,只有测试成功的结果才会被记录 testtimeout,测试超时时间,范围为30-60秒 threadcount,同时测试的线程数目,越多越快,占用资源也越多,范围为1-10,默认为5 2.使用方法: 粘贴要测试的地址到软件编辑框内,然后按开始测试即可. 软件主页: http://www.toppn.com/Product.aspx?id=11 欢迎使用Toppn软件 http://www.toppn.com

2019-05-01

kayingtools_V2.92_setup(卡硬工具箱)

kayingtools_V2.92_setup(卡硬工具箱),是由http://www.kbtool.cn/发布的一款电脑硬件检测与配置集合软件,可以方便地测试电脑全方位的参数

2019-03-04

SpaceSniffer v1.3.0.2_CHS(磁盘空间分析,中文版)

SpaceSniffer v1.3.0.2_CHS是一款磁盘空间分析软件,能够以生动的方式列出磁盘空间占用情况。 注意:扫描C盘时需“以管理员身份运行”

2019-03-04

方正字加旧版免安装

方正字+旧版,无需安装即可在Office中应用多种字体,使用方便

2019-03-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除