深搜(联通块)——Oil Deposit

题意:和counting sheep一样,只是这里要搜索八个方向。

代码如下:

#include<bits/stdc++.h>
using namespace std;
int m, n;
char a[105][105];
int vis[105][105];
void dfs(int r, int c, int index)
{
	if (r < 0 || r >= m || c < 0 || c >= n || vis[r][c] || a[r][c] != '@')
		return;
	vis[r][c] = index;
	for (int i = -1;i < 2;i++)
		for (int j = -1;j < 2;j++)
			if (i || j)
				dfs(r + i, c + j, index);
}
int main()
{
	while (cin >> m && m != 0)
	{
		cin >> n;
		for (int i = 0;i < m;i++)
			for (int j = 0;j < n;j++)
				cin >> a[i][j];
		memset(vis, 0, sizeof(vis));
		int cnt = 0;
		for (int i = 0;i < m;i++)
			for (int j = 0;j < n;j++)
				if (!vis[i][j] && a[i][j] == '@')
					dfs(i, j, ++cnt);
					cout << cnt << endl;
	}
	return 0;
}

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在 SystemVerilog 中,`deposit` 是一个用于修改信号值的系统任务。它可以将一个给定的值存储到一个信号中,并在必要时触发相应的事件。下面是 `deposit` 的语法: ```systemverilog deposit(signal, value); ``` 其中,`signal` 是要修改的信号,`value` 是要存储到信号中的值。需要注意的是,`signal` 必须是一个可分配的变量或信号。 `deposit` 的作用类似于赋值操作符 `=`,但它不仅可以修改信号的值,还可以触发相应的事件。当使用 `=` 赋值时,如果新值与旧值相同,则不会触发任何事件;但是使用 `deposit` 时,不管新值与旧值是否相同,都会触发信号的事件,从而确保了信号的行为与实际硬件一致。因此,在 Testbench 中使用 `deposit` 可以更好地模拟实际硬件的行为。 下面是一个使用 `deposit` 的例子: ```systemverilog logic clk, rst, data; // ... initial begin clk = 0; rst = 1; data = 0; #10 rst = 0; // 拉低复位信号 #20 deposit(data, 1); // 存储 1 到 data 信号中 #10 deposit(clk, 1); // 触发时钟信号的上升沿 #10 deposit(clk, 0); // 触发时钟信号的下降沿 #10 deposit(data, 0); // 存储 0 到 data 信号中 // ... end ``` 在上面的例子中,我们使用 `deposit` 存储不同的值到 `data` 信号中,并使用 `deposit` 触发了时钟信号的上升沿和下降沿。需要注意的是,`deposit` 不能在 `always` 中使用,因为它会触发事件,从而导致死循环。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值