CPU综合设计

本文是一份关于模型机设计的报告,目标是设计一个简易CPU,涵盖了从整体架构到各个模块如PC计数器、选择器、RAM、通用寄存器组、ALU、移位逻辑、指令寄存器、指令译码器的详细设计。报告还涉及了测试环境,包括FPGA型号EP1C3T144C8的特性,以及CPU的性能分析和设计总结,强调了一些设计中的注意事项。
摘要由CSDN通过智能技术生成

模型机设计报告

一、设计目的

设计一个简易CPU。完整、连贯地运用《数字逻辑》所学到的知识,熟练掌握 EDA 工具基本使用方法,为学习好后续《计算机原理》课程做铺垫。(来自实验指导书)

二、设计内容

模型机的数据通路在这里插入图片描述在这里插入图片描述① 按照给定的数据通路、数据格式和指令系统,使用 EDA 工具设计一台用硬连线逻 辑控制的简易计算机; ② 要求灵活运用各方面知识,使得所设计的计算机具有较佳的性能; ③ 对所设计计算机的性能指标进行分析,整理出设计报告。

多图多字预警嗷!

三、详细设计
  • 3.1整体架构
    在这里插入图片描述
  • 3.2各个模块实现
    (此部分必须有模块的接口设计,功能实现,功能的仿真验证等内容。)
    1.时钟节拍
    SZJP
    取址阶段和执行阶段中,有些元件是高阻态,有些元件是低阻态,所以应该一共应该有四个时钟控制。取址阶段clk1和clk2,执行阶段clk3和clk4。
    在这里插入图片描述
    2.PC计数器
    PCJSQ
    PC计数器的作用是提供一个取指令的地址,需要支持自加一(顺序计数)和加载总线上数据(跳转)这两个功能。
    引脚说明:
    CLK :时钟信号,时钟信号到来时配合LDPC和INPC控制PC计数器的操作;
    LDPC:控制PC计数器是否进行计数操作,高电平有效;
    INPC:控制PC计数器进行加一操作还是加载BUS总线上的数据,当LDPC为高电平时,INPC为高电平则PC+1,否则PC加载BUS总线上的数据;
    a:BUS总线上的数据输入;
    c:PC计数器的输出,不受时钟控制,输出当前PC计数器中的值。

  • 5
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值