FPGA上VGA显示彩色条

1.VGA接口

先不废话,上图:
在这里插入图片描述
常用的就是1、2、3、13、14,本次小实验也是只用了这五条信号线。在VGA的传输标准中,信号被分为RGB三个原色信号,然后经过数模转换之后,在同步信号的同步下在三个通道中单独传输,同步信号如下:
在这里插入图片描述
其中,行同步信号是对VGA驱动时钟进行计数(扫描像素点),而场同步信号是对行同步信号进行计数,即扫描完一行后场同步信号计数才+1.
在这里插入图片描述
看上图可以得出,c*q即为分辨率。

2.RGB信号相关

与RGB相关接口有三个,即RG

  • 4
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值